WO2008156583A1 - Gas-phase functionalization of surfaces including carbon-based surfaces - Google Patents
Gas-phase functionalization of surfaces including carbon-based surfaces Download PDFInfo
- Publication number
- WO2008156583A1 WO2008156583A1 PCT/US2008/007228 US2008007228W WO2008156583A1 WO 2008156583 A1 WO2008156583 A1 WO 2008156583A1 US 2008007228 W US2008007228 W US 2008007228W WO 2008156583 A1 WO2008156583 A1 WO 2008156583A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- layer
- functionalization
- nanotube
- species
- vapor
- Prior art date
Links
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 title claims abstract description 317
- 238000007306 functionalization reaction Methods 0.000 title claims abstract description 280
- 229910052799 carbon Inorganic materials 0.000 title claims description 30
- 238000000034 method Methods 0.000 claims abstract description 274
- 229910002804 graphite Inorganic materials 0.000 claims abstract description 68
- 239000010439 graphite Substances 0.000 claims abstract description 68
- 229910021389 graphene Inorganic materials 0.000 claims abstract description 62
- 238000004377 microelectronic Methods 0.000 claims abstract description 54
- 125000000524 functional group Chemical group 0.000 claims abstract description 34
- 239000010410 layer Substances 0.000 claims description 361
- 239000002071 nanotube Substances 0.000 claims description 296
- 239000000463 material Substances 0.000 claims description 137
- 238000000231 atomic layer deposition Methods 0.000 claims description 136
- 230000006641 stabilisation Effects 0.000 claims description 94
- 238000011105 stabilization Methods 0.000 claims description 94
- 239000002041 carbon nanotube Substances 0.000 claims description 67
- 229910021393 carbon nanotube Inorganic materials 0.000 claims description 67
- 229910052751 metal Inorganic materials 0.000 claims description 62
- 239000002184 metal Substances 0.000 claims description 62
- 239000000758 substrate Substances 0.000 claims description 57
- 239000002109 single walled nanotube Substances 0.000 claims description 48
- 239000002243 precursor Substances 0.000 claims description 44
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 30
- 238000000137 annealing Methods 0.000 claims description 23
- 238000003795 desorption Methods 0.000 claims description 19
- 235000012239 silicon dioxide Nutrition 0.000 claims description 17
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 17
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 claims description 14
- 239000000377 silicon dioxide Substances 0.000 claims description 13
- 239000002356 single layer Substances 0.000 claims description 11
- 229910052735 hafnium Inorganic materials 0.000 claims description 10
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 claims description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 9
- 229910052710 silicon Inorganic materials 0.000 claims description 9
- 239000010703 silicon Substances 0.000 claims description 9
- DWCMDRNGBIZOQL-UHFFFAOYSA-N dimethylazanide;zirconium(4+) Chemical compound [Zr+4].C[N-]C.C[N-]C.C[N-]C.C[N-]C DWCMDRNGBIZOQL-UHFFFAOYSA-N 0.000 claims description 8
- AXAZMDOAUQTMOW-UHFFFAOYSA-N dimethylzinc Chemical compound C[Zn]C AXAZMDOAUQTMOW-UHFFFAOYSA-N 0.000 claims description 8
- NFHFRUOZVGFOOS-UHFFFAOYSA-N palladium;triphenylphosphane Chemical compound [Pd].C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1 NFHFRUOZVGFOOS-UHFFFAOYSA-N 0.000 claims description 8
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 claims description 8
- AYDYYQHYLJDCDQ-UHFFFAOYSA-N trimethylbismuthane Chemical compound C[Bi](C)C AYDYYQHYLJDCDQ-UHFFFAOYSA-N 0.000 claims description 8
- XCZXGTMEAKBVPV-UHFFFAOYSA-N trimethylgallium Chemical compound C[Ga](C)C XCZXGTMEAKBVPV-UHFFFAOYSA-N 0.000 claims description 8
- IBEFSUTVZWZJEL-UHFFFAOYSA-N trimethylindium Chemical compound C[In](C)C IBEFSUTVZWZJEL-UHFFFAOYSA-N 0.000 claims description 8
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 5
- 239000004020 conductor Substances 0.000 claims description 5
- BLLFVUPNHCTMSV-UHFFFAOYSA-N methyl nitrite Chemical compound CON=O BLLFVUPNHCTMSV-UHFFFAOYSA-N 0.000 claims description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 5
- 239000011810 insulating material Substances 0.000 claims description 4
- 239000004065 semiconductor Substances 0.000 claims 3
- 230000008569 process Effects 0.000 description 147
- 238000000151 deposition Methods 0.000 description 83
- 230000008021 deposition Effects 0.000 description 71
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 58
- 238000000576 coating method Methods 0.000 description 55
- 241000894007 species Species 0.000 description 50
- 239000011248 coating agent Substances 0.000 description 48
- 230000015572 biosynthetic process Effects 0.000 description 35
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 33
- 229910052786 argon Inorganic materials 0.000 description 29
- 239000003054 catalyst Substances 0.000 description 28
- 238000010926 purge Methods 0.000 description 26
- 238000005229 chemical vapour deposition Methods 0.000 description 25
- 230000006870 function Effects 0.000 description 25
- 239000007789 gas Substances 0.000 description 22
- 238000006243 chemical reaction Methods 0.000 description 20
- XMWRBQBLMFGWIX-UHFFFAOYSA-N C60 fullerene Chemical group C12=C3C(C4=C56)=C7C8=C5C5=C9C%10=C6C6=C4C1=C1C4=C6C6=C%10C%10=C9C9=C%11C5=C8C5=C8C7=C3C3=C7C2=C1C1=C2C4=C6C4=C%10C6=C9C9=C%11C5=C5C8=C3C3=C7C1=C1C2=C4C6=C2C9=C5C3=C12 XMWRBQBLMFGWIX-UHFFFAOYSA-N 0.000 description 19
- 230000004888 barrier function Effects 0.000 description 19
- 238000003786 synthesis reaction Methods 0.000 description 17
- 230000012010 growth Effects 0.000 description 16
- 238000004519 manufacturing process Methods 0.000 description 15
- 230000000873 masking effect Effects 0.000 description 14
- 230000005669 field effect Effects 0.000 description 13
- 150000002739 metals Chemical class 0.000 description 13
- 239000007788 liquid Substances 0.000 description 12
- 235000012431 wafers Nutrition 0.000 description 12
- -1 Ti and Cr Chemical class 0.000 description 11
- 239000011261 inert gas Substances 0.000 description 11
- 239000000126 substance Substances 0.000 description 11
- 238000005137 deposition process Methods 0.000 description 10
- 239000012212 insulator Substances 0.000 description 10
- 230000006911 nucleation Effects 0.000 description 10
- 238000010899 nucleation Methods 0.000 description 10
- 238000012545 processing Methods 0.000 description 10
- 239000011258 core-shell material Substances 0.000 description 8
- 239000010408 film Substances 0.000 description 8
- 239000012528 membrane Substances 0.000 description 8
- 239000002048 multi walled nanotube Substances 0.000 description 8
- 210000002381 plasma Anatomy 0.000 description 8
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 7
- 238000004458 analytical method Methods 0.000 description 7
- 239000003575 carbonaceous material Substances 0.000 description 7
- 239000006185 dispersion Substances 0.000 description 7
- 230000003647 oxidation Effects 0.000 description 7
- 238000007254 oxidation reaction Methods 0.000 description 7
- 229910052703 rhodium Inorganic materials 0.000 description 7
- 239000010948 rhodium Substances 0.000 description 7
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 6
- 230000007246 mechanism Effects 0.000 description 6
- 229910044991 metal oxide Inorganic materials 0.000 description 6
- 150000004706 metal oxides Chemical class 0.000 description 6
- 239000001301 oxygen Substances 0.000 description 6
- 229910052760 oxygen Inorganic materials 0.000 description 6
- 239000010936 titanium Substances 0.000 description 6
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 5
- 239000003990 capacitor Substances 0.000 description 5
- 125000004432 carbon atom Chemical group C* 0.000 description 5
- 230000000694 effects Effects 0.000 description 5
- 238000001704 evaporation Methods 0.000 description 5
- 230000008020 evaporation Effects 0.000 description 5
- 229910003472 fullerene Inorganic materials 0.000 description 5
- 230000000670 limiting effect Effects 0.000 description 5
- 238000001465 metallisation Methods 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- 229910052763 palladium Inorganic materials 0.000 description 5
- 238000001179 sorption measurement Methods 0.000 description 5
- 239000000725 suspension Substances 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 4
- 229910052750 molybdenum Inorganic materials 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 238000005086 pumping Methods 0.000 description 4
- 239000010453 quartz Substances 0.000 description 4
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 4
- 229910052719 titanium Inorganic materials 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- 239000010937 tungsten Substances 0.000 description 4
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 3
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 230000015556 catabolic process Effects 0.000 description 3
- 229910052804 chromium Inorganic materials 0.000 description 3
- 239000011651 chromium Substances 0.000 description 3
- 125000004122 cyclic group Chemical group 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 239000011737 fluorine Substances 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 238000009396 hybridization Methods 0.000 description 3
- 230000003993 interaction Effects 0.000 description 3
- XEEYBQQBJWHFJM-UHFFFAOYSA-N iron Substances [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 3
- 239000006194 liquid suspension Substances 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 238000002161 passivation Methods 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 239000000376 reactant Substances 0.000 description 3
- 229910052707 ruthenium Inorganic materials 0.000 description 3
- 239000000243 solution Substances 0.000 description 3
- 238000005019 vapor deposition process Methods 0.000 description 3
- ROSDSFDQCJNGOL-UHFFFAOYSA-N Dimethylamine Chemical class CNC ROSDSFDQCJNGOL-UHFFFAOYSA-N 0.000 description 2
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 2
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 2
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 description 2
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 2
- 238000007792 addition Methods 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 238000005275 alloying Methods 0.000 description 2
- 229910052797 bismuth Inorganic materials 0.000 description 2
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 2
- 150000001721 carbon Chemical class 0.000 description 2
- 229910000428 cobalt oxide Inorganic materials 0.000 description 2
- IVMYJDGYRUAWML-UHFFFAOYSA-N cobalt(ii) oxide Chemical compound [Co]=O IVMYJDGYRUAWML-UHFFFAOYSA-N 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 230000001627 detrimental effect Effects 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 229910052733 gallium Inorganic materials 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 229910001026 inconel Inorganic materials 0.000 description 2
- 238000010884 ion-beam technique Methods 0.000 description 2
- 229910052742 iron Inorganic materials 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 239000002346 layers by function Substances 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 239000011733 molybdenum Substances 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 239000003921 oil Substances 0.000 description 2
- 230000005693 optoelectronics Effects 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 238000005289 physical deposition Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 239000004033 plastic Substances 0.000 description 2
- 239000002798 polar solvent Substances 0.000 description 2
- 230000000717 retained effect Effects 0.000 description 2
- 230000002441 reversible effect Effects 0.000 description 2
- HYXGAEYDKFCVMU-UHFFFAOYSA-N scandium oxide Chemical compound O=[Sc]O[Sc]=O HYXGAEYDKFCVMU-UHFFFAOYSA-N 0.000 description 2
- 238000006557 surface reaction Methods 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 description 2
- 229910001887 tin oxide Inorganic materials 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 239000012808 vapor phase Substances 0.000 description 2
- 229910052725 zinc Inorganic materials 0.000 description 2
- 239000011701 zinc Substances 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- MBVAQOHBPXKYMF-LNTINUHCSA-N (z)-4-hydroxypent-3-en-2-one;rhodium Chemical compound [Rh].C\C(O)=C\C(C)=O.C\C(O)=C\C(C)=O.C\C(O)=C\C(C)=O MBVAQOHBPXKYMF-LNTINUHCSA-N 0.000 description 1
- 244000025254 Cannabis sativa Species 0.000 description 1
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910052688 Gadolinium Inorganic materials 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 239000007983 Tris buffer Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- SWXQKHHHCFXQJF-UHFFFAOYSA-N azane;hydrogen peroxide Chemical compound [NH4+].[O-]O SWXQKHHHCFXQJF-UHFFFAOYSA-N 0.000 description 1
- 238000007664 blowing Methods 0.000 description 1
- 230000005587 bubbling Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 235000011089 carbon dioxide Nutrition 0.000 description 1
- 238000005234 chemical deposition Methods 0.000 description 1
- 238000007385 chemical modification Methods 0.000 description 1
- 238000005253 cladding Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000003776 cleavage reaction Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 239000003086 colorant Substances 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 238000005566 electron beam evaporation Methods 0.000 description 1
- 238000012874 electrostatic modification Methods 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- UIWYJDYFSGRHKR-UHFFFAOYSA-N gadolinium atom Chemical group [Gd] UIWYJDYFSGRHKR-UHFFFAOYSA-N 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 230000002401 inhibitory effect Effects 0.000 description 1
- 230000005764 inhibitory process Effects 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 231100001231 less toxic Toxicity 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- WPBNNNQJVZRUHP-UHFFFAOYSA-L manganese(2+);methyl n-[[2-(methoxycarbonylcarbamothioylamino)phenyl]carbamothioyl]carbamate;n-[2-(sulfidocarbothioylamino)ethyl]carbamodithioate Chemical compound [Mn+2].[S-]C(=S)NCCNC([S-])=S.COC(=O)NC(=S)NC1=CC=CC=C1NC(=S)NC(=O)OC WPBNNNQJVZRUHP-UHFFFAOYSA-L 0.000 description 1
- 239000003550 marker Substances 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 229910001463 metal phosphate Inorganic materials 0.000 description 1
- 229910052914 metal silicate Inorganic materials 0.000 description 1
- 238000003801 milling Methods 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 239000002105 nanoparticle Substances 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 238000001208 nuclear magnetic resonance pulse sequence Methods 0.000 description 1
- KJXBRHIPHIVJCS-UHFFFAOYSA-N oxo(oxoalumanyloxy)lanthanum Chemical group O=[Al]O[La]=O KJXBRHIPHIVJCS-UHFFFAOYSA-N 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 230000037361 pathway Effects 0.000 description 1
- 239000008188 pellet Substances 0.000 description 1
- 230000003094 perturbing effect Effects 0.000 description 1
- 239000012071 phase Substances 0.000 description 1
- 235000021317 phosphate Nutrition 0.000 description 1
- 150000003013 phosphoric acid derivatives Chemical class 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 230000006798 recombination Effects 0.000 description 1
- 238000005215 recombination Methods 0.000 description 1
- 238000011084 recovery Methods 0.000 description 1
- 238000009877 rendering Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 229910052706 scandium Inorganic materials 0.000 description 1
- SIXSYDAISGFNSX-UHFFFAOYSA-N scandium atom Chemical compound [Sc] SIXSYDAISGFNSX-UHFFFAOYSA-N 0.000 description 1
- 230000007017 scission Effects 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000009987 spinning Methods 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
- 150000004763 sulfides Chemical class 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 229910052718 tin Inorganic materials 0.000 description 1
- 230000005641 tunneling Effects 0.000 description 1
- 229910052720 vanadium Inorganic materials 0.000 description 1
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/403—Oxides of aluminium, magnesium or beryllium
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y30/00—Nanotechnology for materials or surface science, e.g. nanocomposites
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y40/00—Manufacture or treatment of nanostructures
-
- C—CHEMISTRY; METALLURGY
- C01—INORGANIC CHEMISTRY
- C01B—NON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
- C01B32/00—Carbon; Compounds thereof
- C01B32/15—Nano-sized carbon materials
- C01B32/158—Carbon nanotubes
- C01B32/168—After-treatment
- C01B32/174—Derivatisation; Solubilisation; Dispersion in solvents
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/407—Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
- H01L29/1606—Graphene
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/778—Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
- H01L29/7781—Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with inverted single heterostructure, i.e. with active layer formed on top of wide bandgap layer, e.g. IHEMT
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78603—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78684—Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
-
- C—CHEMISTRY; METALLURGY
- C01—INORGANIC CHEMISTRY
- C01B—NON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
- C01B2202/00—Structure or properties of carbon nanotubes
- C01B2202/02—Single-walled nanotubes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10K—ORGANIC ELECTRIC SOLID-STATE DEVICES
- H10K85/00—Organic materials used in the body or electrodes of devices covered by this subclass
- H10K85/20—Carbon compounds, e.g. carbon nanotubes or fullerenes
- H10K85/221—Carbon nanotubes
- H10K85/225—Carbon nanotubes comprising substituents
Definitions
- This invention relates to techniques for forming layers of material on a surface of a structure, and more particularly relates to techniques for functionalizing surfaces, including surfaces of carbon-based structures, to enable the formation of layers of material on a structure surface.
- a selected coating material or coating process can be found incompatible -with a chosen substrate or material structure on which the coating material is to be formed.
- ALD atomic layer deposition
- a chosen substrate or material structure may be chemically inert to the requisite ALD precursor molecules for producing a desired material layer on the structure.
- this condition is true in general for carbon-based materials such as graphite, graphene, carbon nanotubes, and other fullerene structures.
- Such materials are characterized by a composition mainly of ordered hexagonal groups of carbon atoms or hexagonal and pentagonal groups of carbon atoms.
- graphene is a planar layer of carbon atoms that are bound together in a hexagonal network that is one atom thick.
- Graphite is a bulk structure composed of multiple stacked layers of graphene.
- Carbon nanotubes can be considered as graphene sheets rolled into nanoscale cylinders.
- Buckyballs and other so-called fullerene structures can be considered as layers of graphene rolled into nanoscale spheres or other three- dimensional structures.
- the carbon surfaces of these structures are chemically inert to a wide range deposition species, including many ALD precursor molecules. As a result, many materials cannot be deposited or otherwise formed on the surfaces of these structures. But the unique physical, electrical, and chemical properties of these carbon-based structures are of particular importance for next- generation electrical and optical applications and for many nanoscale systems.
- carbon nanotubes are being employed increasingly for a wide range of nanosystems and nanodevices.
- the unique electronic structure, exceptional elastic properties, and extremely high aspect ratio all characteristic of carbon nanotubes address many considerations that are currently of interest for nanosystems and nanodevices.
- Coaxial coating of a carbon nanotube such that the longitudinal coaxial surface of the nanotube is substantially fully surrounded by one or more coated layers, can be particularly desirable, for, e.g., providing a coaxially symmetric nanotube structure and material properties.
- Surround-gate transistors and other device configurations are particularly reliant on such a coaxial coating arrangement.
- suspended carbon nanotube-based device geometries, often employed for sensing applications generally are preferably implemented with a coaxially-coated nanotube.
- a nanotube wall configuration, electronic structure, and surface properties can all impact the ability to deposit a selected material on the surface of a nanotube.
- uniform, conformal coating of multi- walled carbon nanotubes can under some conditions be accomplished by atomic layer deposition (ALD) or by chemical vapor deposition (CVD).
- ALD atomic layer deposition
- CVD chemical vapor deposition
- ALD allows for the deposition of a wide variety of materials at relatively low processing temperatures with superior thickness precision and high composition uniformity, and therefore is an attractive deposition technique for many applications.
- ALD well-addresses carbon nanotube nano-scale geometries and overcomes the limitations of CVD deposition techniques. But for many applications, it is understood that MWNTs can be coated by an ALD process only because
- MWNTs are characterized by the existence of defects, at nanotube surfaces, that can act as nucleation sites for ALD precursors. MWNT ALD coatings therefore cannot be guaranteed to be reproducible or uniform.
- Single-walled carbon nanotubes are characterized by a much more ideal and defect- free surface structure than MWNTs. SWNTs are found to be chemically inert to ALD precursor molecules. As a result, continuous ALD coating onto a SWNT by ALD is not in general conventionally achievable for any process conditions.
- a selected coating material or coating process is found incompatible with a chosen nanotube wall structure or other configuration.
- chemical vapor deposition processes in general cannot be guaranteed to produce a uniform nanotube coaxial coating, and can require plasmas or deposition temperatures that are so high as to impact the electrical or mechanical properties of a nanotube.
- SWNTs are chemically inert to CVD precursors at CVD temperatures less than about 400°C.
- PVD physical deposition methods
- a "conformal" coating on a nanotube is here meant to refer to a coating that wraps completely around the nanotube with uniform thickness on all sides. It is therefore difficult to reliably and benignly make conformal layers of material around a nanotube.
- a nanotube uniformly and conformally with insulating and metallic materials in the formation of an electronic device such as a coaxially- gated nanotube transistor.
- an electronic device such as a coaxially- gated nanotube transistor.
- SWNTs are inert to ALD precursors and therefore cannot be coated by an ALD process.
- CVD and PVD techniques do not reliably produce a thin, uniform and conformal layer on a nanotube.
- Liquid- chemical deposition methods are known to enable the coating of SWNTs with Si ⁇ 2, which is a low- ⁇ dielectric, but do not enable the deposition of higher- K materials on a nanotube.
- a nanotube surface can first be functionalized to render the surface susceptible to deposition precursor molecules, thereby to enable deposition by a selected technique such as ALD.
- a liquid-based technique can be employed for functionalizing a SWNT surface by covalent chemical bonding of a functionalization layer to the SWNT surface.
- the resulting layer provides functional groups, covalently bonded to the nanotube longitudinal sidewall, that are reactive with deposition precursors such as ALD precursor molecules.
- the invention overcomes the limitations of covalent-bonding, liquid-based surface functionalization techniques by providing a functionalization method that requires only weak, physical bonding, such as non-covalent bonding, to produce a functionalization layer on the structure.
- a carbon nanotube surface is exposed to at least one vapor including at least one functionalization species that non-covalently bonds to the nanotube surface, to form -CH3 functional groups at the nanotube surface.
- the functionalized nanotube surface can then be exposed to at least one vapor stabilization species that reacts with the functionalization layer to form a stabilization layer that stabilizes the functionalization layer against desorption from the nanotube surface while providing chemically functional groups at the nanotube surface to produce a stabilized nanotube surface.
- the functionalization and stabilization layers can be characterized as substantially one monolayer in thickness.
- the stabilized nanotube surface can then be exposed to at least one material layer precursor species that deposits a material layer on the stabilized nanotube surface.
- a single-walled carbon nanotube that is connected between electrically conducting source and drain contacts, with an insulating layer of a first thickness disposed on at least a portion of the source and drain contacts and covering a span of the nanotube, at each end of the nanotube at the source and drain contacts, that is substantially equal to the first thickness.
- a gate dielectric layer of a second thickness less than the first thickness, can be disposed coaxially around a central span of the nanotube on which was not disposed the insulating layer of a first thickness, and an electrically conducting gate metal layer can be disposed at least coaxially around the dielectric layer on the nanotube.
- the processes of the invention extend to methods for functionalizing a planar surface of a graphene layer, a graphite surface, or microelectronic structure.
- the graphene layer, graphite surface, or planar microelectronic structure surface is exposed to at least one vapor including at least one functionalization species that non-covalently bonds to the graphene layer, a graphite surface, or planar microelectronic surface while providing a functionalization layer of chemically functional groups, to produce a functionalized graphene layer, graphite surface, or planar microelectronic surface.
- the functionalized surface After functionalizing a structural surface in accordance by exposing the surface to at least one vapor including at least one functionalization species that non-covalently bonds to the surface while providing a functionalization layer of chemically functional groups, to produce a functionalized surface; the functionalized surface can be exposed to at least one vapor stabilization species that reacts with the functionalization layer to form a stabilization layer that stabilizes the functionalization layer against desorption from the surface while providing chemically functional groups at the surface, to produce a stabilized surface. Then the stabilized surface can be annealed at a peak annealing temperature between about 300°C and about 700°C.
- the functionalization, stabilization, and annealing steps of the invention can be applied to a wide range of substrates and three-dimensional structures, such as carbon-based structures like carbon nanotubes, other fullerene structures, planar and non-planar carbon-based materials, and various microelectronic structures.
- the invention is well-suited for enabling material deposition on any three-dimensional structure, planar or non-planar, that is inherently inert to such deposition and that is compatible with the functionalization and stabilization processes.
- Fig. IA is a schematic side view of a carbon nanotube suspended over a trench for functionalization in accordance with the invention.
- Fig. IB is a schematic planar view of carbon nanotubes disposed on a surface for functionalization in accordance with the invention.
- FIGs. 1C-1D are schematic side and planar views, respectively, of a carbon nanotube suspended over a through-hole in a support structure for functionalization in accordance with the invention
- Fig. IE is a schematic view of carbon nanotubes disposed vertically from a substrate for functionalization in accordance with the invention.
- Fig. IF is a schematic view of a carbon nanotube suspended from the edge of a beam for functionalization in accordance with the invention
- Fig. 2 is a schematic diagram of the reaction mechanism for
- FIG. 3A is a plot of measured conductance of a SWNT as a function of time as NO2 is adsorbed and desorbed from the surface of the SWNT;
- Fig. 3B is a plot of measured conductance of a SWNT as a function of time as A1(CH3)3 is adsorbed and desorbed from the surface of the
- Fig. 3C is a plot of measured conductance of a SWNT as a function of time as ALD functionalization cycles of NO2 and A1(CH3)3 dosing are carried out;
- Fig. 3D is a plot of measured conductance of a SWNT as a function of time as one ALD functionalization cycle of NO2 and A1(CH3)3 dosing is carried out;
- Fig. 4A is a plot of measured functionalization layer thickness as a function of number of ALD functionalization cycles of NO2 and A1(CH3)3 dosing;
- Fig. 4B is a plot of measured functionalization layer thickness as a function of NO2 dosing in an ALD functionalization cycle of NO2 and Al(CHs) 3 dosing;
- Fig. 5A is a plot of measured conductance of a SWNT as a function of time as one pulse of NO2 dosing is adsorbed and desorbed from the surface of the SWNT where the SWNT Schottky barriers with electrodes at the SWNT ends have not been passivated;
- Fig. 5B is a plot of measured conductance of a SWNT as a function of time as one pulse of NO2 dosing is adsorbed and desorbed from the surface of the SWNT, where the SWNT Schottky barriers with electrodes at the SWNT ends have been passivated;
- Fig. 5C is a plot of measured SWNT conductance as a function of time as multiple NO2 pulse dosing is carried out, indicating the conductance for a SWNT having Schottky barriers with electrodes at the SWNT ends that have not been passivated and are exposed, and for a SWNT having Schottky barriers with electrodes at the SWNT ends that have been passivated and are coated;
- FIGs. 6A-6I are schematic views of process steps in the fabrication of a doubly-suspended carbon nanotube field effect transistor in accordance with the invention.
- Figs. 7A- 7M are schematic side views of the process steps in the fabrication of a vertical carbon nanotube field effect transistor in accordance with the invention
- Figs. 8A-8B are plots of capacitance as a function of applied voltage for MOS structures formed on a Si substrate that does not include a functionalization layer and on a Si substrate that does include a functionalization layer, respectively;
- Figs. 9A- 9F are plots of capacitance as a function of applied voltage for MOS structures formed on a Si substrate and not annealed, annealed at 300°C, annealed at 400°C, annealed at 500°C, annealed at 600°C, and annealed at annealed at 700°C, respectively.
- the functionalization processes of the invention can be applied to substantially any structure having a surface chemistry that is attractive to the functionalization species, as described in detail below.
- vapor or liquid processing at the surface of a structure can be carried out to form one or more layers of material on the structure.
- Example structures to be functionalized in accordance with the invention include planar microelectronic material substrates, e.g., wafers, pieces of substrates, chips, or other planar microelectronic structures having a surface chemistry that is compatible with the functionalization chemistry.
- Layered, composite, or matrix materials and structures or other planar microelectronic structures having surfaces to be functionalized can also be processed.
- Silicon, silicon dioxide, silicon nitride, glasses, metals, and other insulating, semiconducting, and conducting microelectronic materials can be functionalized in accordance with the invention.
- the material structures can be planar, as well as coaxial, spherical, or some other selected geometry, and can be provided as a microelectromechanical or nanoelectromechanical structure or system. In general, any structure for which there is required a surface to accommodate material formation and/or deposition can be functionalized, if the surface is compatible with the functionalization chemistry.
- any structures having carbon surfaces including bulk graphite, graphene, carbon nanotubes, buckyballs, and other fullerene structures that exhibit inertness to precursors for ALD or other material deposition, formation, or growth process can be functionalized by the processes of the invention to overcome such inertness.
- Carbon nanotubes present an important example of a carbon-based structure for which functionalization is required. For clarity, the following description first presents an example functionalization process directed specifically to carbon nanotubes, but the invention is not limited to such, and further examples of alternative structure functionalization are presented later in the description.
- the functionalization process of the invention can be carried out on a carbon nanotube of any selected wall configuration, including single- walled nanotubes (SWNTs) and multi- walled nanotubes (MWNTs), and can be carried out on a nanotube of any selected electrical state, including metallic or semiconducting nanotubes.
- SWNTs single- walled nanotubes
- MWNTs multi- walled nanotubes
- the functionalization process of the invention produces a functionalization layer that is physically bonded to the outside surface of a nanotube in a benign manner that avoids chemical modification of nanotubes, thereby preserving the electrical, optical, and mechanical properties of the nanotube.
- the functionalization layer of the invention While not chemically bonded to the nanotube surface, the functionalization layer of the invention provides chemically- active functional groups at the nanotube surface that are reactive to deposition precursors for depositing a material layer uniformly over the functionalization layer.
- the functionalization layer of the invention thereby preserves the nanotube properties while providing a nanotube surface condition that facilitates subsequent deposition of a uniformly thick film or films all over the surface of the nanotube.
- vapor or liquid processing of the nanotube can be carried out to deposit one or more layers of material on the nanotube in a precise, reliable, conformal manner.
- ALD techniques, chemical vapor deposition (CVD) techniques, plasma deposition techniques, other physical deposition techniques, and solution-based deposition techniques can all be employed to produce on a functionalized carbon nanotube a desired configuration of a material layer or layers.
- Example functionalization processes provided by the invention and example material deposition processes are described in detail below.
- a material on a nanotube in a manner that coaxially coats the nanotube surface, i.e., that surrounds at least a portion of the length of the nanotube.
- Fig. IA is an example of such a configuration.
- nanotubes 10 has been synthesized between two catalyst regions 12, 14 across a trench 16 provided in a substrate structure 18.
- the suspension of the nanotube across the trench provides complete access to the nanotube sidewall, thereby enabling the formation of a functionalization layer around the entire nanotube.
- nanotubes 10 that are synthesized lying flat on a substrate 18 are not fully exposed to the ambient, and cannot in one step be fully coated with a functionalization layer.
- nanotubes that are synthesized in the configuration of Fig. IB can be manually manipulated to be arranged in a suspended configuration like that of Fig. IA, or other suitable configuration, for enabling full coating.
- nanotubes can be moved to positions over holes or trenches by flowing after growth in another selected location.
- the nanotubes can be maintained flat on a surface and multiple coating cycles be employed with manual rotation of the nanotubes between each cycle.
- Figs. 1C- ID there is shown a second example of a suspended nanotube configuration.
- a nanotube 10 has been synthesized between two catalyst regions 12, 14 across a through-hole 20 in a substrate 18.
- the through-hole can be square, or can be of another selected geometry, either straight or curved.
- the trench 16 of the example of Fig. IA likewise can be of any suitable geometry across which a nanotube can be synthesized. Where a through-hole is preferred, such can be provided in a substrate, as in Figs. 1C-1D, or through a self- supported membrane or other suitable structure.
- nanotubes 10 can alternatively be synthesized vertically, grown down or up like blades of grass from the surface of a substrate 18 on which is provided catalyst regions or a blanket catalyst layer.
- This synthesis arrangement provides full circumferential access to the nanotubes for forming a functionalization layer fully around the nanotubes.
- the nanotubes are anchored to a substrate only at one end, and can be maintained in this rooted configuration during functionalization and coating processes.
- Such a condition can also be achieved in a horizontal arrangement, shown in Fig. IF.
- a nanotube 10 is synthesized from the end of a horizontal structure, e.g., a beam 22 provided on a substrate 18.
- a nanotube catalyst region can be provided at a selected location on the beam or along the beam length.
- one or more carbon nanotubes are synthesized in place in a selected arrangement that is convenient for coating the full circumference of the nanotube surface along the nanotube length.
- This can be extended to synthesis of a nanotube in place in a selected device or system configuration for which the nanotube is intended, where the full circumference of the nanotube is to be exposed during operation. Examples of such devices are described in detail below.
- nanotubes can be synthesized in any desired fashion and then arranged to expose their full coaxial surface for functionalization of the full surface, or can be manipulated such that repeated functionalization steps produce a functionalization layer on the full surface.
- the nanotube can be provided in an arrangement such as Fig. IB with a selected surface region to be functionalized made accessible to the functionalization process.
- Nanotubes can be obtained from commercial sources or synthesized in a desired manner and with selected characteristics such as wall number and electrical conductivity.
- Example nanotube synthesis techniques and configurations that can be employed in accordance with the invention are described in U.S. Patent Application Publication No. US 2006/0006377, entitled “Suspended Carbon Nanotube Field Effect Transistor,” Published January 12, 2006, the entirety of which is hereby incorporated by reference.
- nanotube catalyst materials of, e.g., Fe, Co, Ni, alloys of such, or other metals or suitable materials can be provided in a patterned configuration or a blanket arrangement on a substrate or other structure, in the conventional manner, for synthesis of nanotubes therefrom.
- catalyst regions can be provided on, e.g., metal contact pads, in the manner described below, such that a resulting nanotube device configuration includes electrical connection points at ends of the nanotube.
- the catalyst material can be provided on a substrate or membrane in an arrangement such that the through-hole can be formed in one step through the catalyst and the underlying substrate or membrane to provide alignment of the edge of the catalyst region with the edge of the through-hole.
- a relatively thin catalyst layer e.g., of 2 nm in thickness or less, can be preferred.
- Nanotube synthesis can be carried out by CVD or other selected vapor deposition process.
- CH 4 is flowed, at a rate of, e.g., about 200 seem, at a temperature of, e.g., about 900°C, for a selected duration, e.g., up to about 5 minutes in a CVD chamber.
- argon gas is flowed at, e.g., about 450 seem, at atmospheric pressure, through anhydrous liquid ethanol at 25°C, room temperature, with the vapor mixture then flowed over a catalyst-coated region at a temperature of, e.g., between about 750°C -900°C, in, e.g., an Inconel annealing tube, for a duration of, e.g., between about 30 s and 30 min.
- Other synthesis processes can also be employed.
- nanotubes can be grown across through-holes or trenches provided in substrates or membranes, can be grown vertically up or down from a catalyst surface, or can be grown from the edge of a beam or other structure. Multiple nanotubes can be expected to grow from each catalyst region and remain at least partially suspended over holes or trenches or from anchor points such as beams or other surfaces.
- the functionalization process of the invention is carried out to enable deposition of additional selected material layers on the nanotube.
- the functionalization process of the invention produces at the nanotube surface a functionalization layer that is substantially conformal and uniform and that provides chemically functional groups amenable to deposition of a material layer thereon and/or that can react with coating precursors such as ALD or CVD precursor molecules.
- the functionalization layer does not, however, significantly disrupt the electronic structure or other properties of the nanotube and therefore is benign to the nanotube. Accordingly, in the functionalization process, there is delivered to the nanotube surface a species that can physically attach to the surface for uniformly coating the surface but which does not chemically react with the surface.
- the functionalization layer is physisorbed at the nanotube surface but is not chemisorbed at the nanotube surface.
- Such a condition can for many cases be characterized as non- covalent bonding.
- Covalent bonding typically characterizes a condition in which localized interaction between species can trap electrons and disrupt electronic properties.
- the functionalization process of the invention avoids this condition by employing a functionalization species that does not result in localized electronic interaction with the nanotube surface.
- the non-covalent physical bond of the functionalization species to a nanotube surface is strong enough to hold the species in place for at least a limited duration, but is reversible, and therefore can desorb from the surface. With this condition, the physical bond is not of a nature that perturbs the structure of the nanotube surface.
- NO2 is characterized by a high binding efficiency that results from low lying electronic states. This high binding efficiency, in combination with a tendency to strong Van der Waals attraction, enables NO2 to physically bond to a nanotube surface without chemically bonding to the surface. Without chemical bonding to the surface, the NO2 does not strongly perturb the surface electronically or chemically. NO2 can be delivered to a nanotube surface as a gaseous vapor species. For many applications, it is preferred in accordance with the invention that the functionalization species be a vapor species, rather than a liquid species, for enabling precision in deposition as well as for convenience. NO2 thereby is particularly well-suited as a functionalization species.
- the characteristics of NO2 given just above generally describe the requirements of a functionalization species of the invention.
- the functionalization species should be adsorbed on and non-covalently physically bond to, or physisorbed on, a nanotube surface without significantly perturbing the chemical and/or electronic states of the nanotube.
- the physisorbed functionalization species should be capable of operating as nucleation sites for reaction with one or more precursors for depositing a material layer on the nanotube.
- the functionalization species should also preferably be deliverable to a nanotube surface in the vapor phase.
- the invention is not limited to the NO2 functionalization example.
- methyl nitrite gas CH3ONO
- NO2 gas methyl nitrite gas
- Species and processes that result in covalent bonding with a nanotube surface cannot be employed in the functionalization process of the invention.
- layers of some metals, such as Ti and Cr are understood to impact the electronic state of nanotubes and to covalently bond to the nanotube surface.
- such metals cannot be employed as a functionalization species in the functionalization process of the invention.
- NO2 does not substantially alter the electrical state of nanotubes to which it is attached.
- any nanotube conduction enhancement produced by an NO2 coating e.g., a slight p-type conduction enhancement that is known to be characteristic of NO2 coating on nanotubes, is almost entirely due to electrostatic modification at the metal/nanotube interface by Schottky barrier modification, as described in detail below.
- NO2 does not interact electronically in the sense that covalent bonds are not formed with the nanotube lattice and the hybridization state, i.e., the electronic state, of the nanotube is therefore not changed by the NO2. '
- a metal layer on a nanotube gate surface would dominate electrical current transport along the nanotube gate by providing a pathway for electrons to travel through the metal, rather than the semiconducting nanotube, thereby eliminating the requisite transistor gate switching capabilities.
- Particular deposition processes can also alter the properties of a nanotube and are therefore cannot be employed as a functionalization process.
- some high-temperature CVD processes are known to deleteriously impact the electrical transport properties of nanotubes by changing the electronic structure of nanotubes. This is understood to occur due to a bonding arrangement that is not non-covalent.
- the high-temperature CVD process causes formation of covalent bonds with a nanotube that change the electrical properties of the nanotube.
- the low-temperature vapor deposition process of the invention does not form with a nanotube covalent bonds that could alter the electrical properties of the nanotube.
- NO2 functionalization species it is found that the physical bond of NO2 species to a nanotube surface is reversible, as mentioned above. In other words, adsorbed NO2 molecules tend to desorb from a nanotube surface over time. As a result, although a layer of NO2 molecules coated on a nanotube surface will act as nucleation sites for deposition of a selected material layer on the nanotube, the NO2 molecules will not in general remain on the nanotube surface for a duration that is sufficiently long to enable the desired material layer deposition. The challenge is to form a continuous layer of NO2 that is preserved for a duration sufficient to carry out a selected material deposition process.
- this is achieved by depositing, preferably from the vapor phase, a layer of NO2 at a temperature that preserves NO2 molecules on a nanotube surface for a duration sufficient to introduce a second functionalization species to react with the NO2 molecules and form a species that is not so easily desorbed from the nanotube surface.
- the reaction of the NO2 and the second functionalization species forms a more stable complex that does not so rapidly desorb from a nanotube surface and that maintains nucleation sites for deposition of a material and/or reaction with a selected deposition precursor.
- Any suitable species that is sufficiently volatile and reactive to bind to the NO2 and stabilize the NO2 on the surface of a nanotube can be employed in the functionalization technique.
- reactive second functionalization species is A1(CH3)3 (trimethylaluminum or TMA), but other reactants, such as dimethylzinc, trimethylgallium, trimethylindium, trimethylbismuth, tetrakis(dimethylamido)hafnium or tetrakis(dimethylamido)zirconium, can also be used.
- TMA trimethylaluminum
- reactants such as dimethylzinc, trimethylgallium, trimethylindium, trimethylbismuth, tetrakis(dimethylamido)hafnium or tetrakis(dimethylamido)zirconium, can also be used.
- Whatever reactive second functionalization species is selected, it preferably binds to the NO2 and stabilizes the NO2 on the surface of a nanotube.
- the invention is not limited to a particular reactive second functionalization species, and instead requires only that the result of a reaction of the selected species with, e.g., NO2 or other selected first functionalization species, not strongly perturb the electronic or chemical state of the nanotube and provide nucleation sites for deposition of a material layer on the nanotube surface.
- a cyclic ALD technique can be employed to introduce vapor NO2 to a nanotube surface and then to expose the adsorbed NO2 molecules to vapor A1(CH3)3, such that the A1(CH3)3 reacts with the NO2 molecules.
- the reaction of the A1(CH3)3 with the NO2 produces a functionalization layer that is non-covalently bonded to the underlying carbon nanotube and that is a stable complex which does not tend to immediately desorb from the nanotube sidewall at a reasonably low temperature, such as room temperature, 25 0 C.
- the resulting functionalized surface provides functional groups that are amenable to uniform, conformal coating of the nanotube by a selected additional material or materials.
- FIG. 2 A plausible reaction mechanism for adsorbed NO2 with TMA is schematically illustrated in Figure 2.
- the nitrogen end of NO2 is attracted to the nanotube surface, leaving the oxygen ends exposed to incoming TMA vapor.
- This is the most stable configuration for adsorbed NO2 molecules on the nanotube surface.
- the aluminum centers of TMA are in turn attracted to oxygen, leaving the methyl groups as the surface functional groups that are available for reaction with deposition precursors and amenable to deposition conditions.
- this configuration results in self-terminating behavior under a range or processing conditions, preventing further attachment of either NO2 or TMA.
- a cyclic ALD technique is employed at a temperature that generally minimizes a tendency of molecular desorption, e.g., about room temperature, 25 0 C.
- a selected flow e.g., about 50 seem, of a selected inert gas, e.g., argon gas
- An example of such is a cylindrical reactor having an inner diameter of 3.4 cm.
- the inert gas flow is controlled, e.g., by a vacuum pump with a pumping speed such that the chamber pressure is maintained at, e.g., about 300 mTorr.
- One example NO2-TMA functionalization cycle consists of a first cycle step providing a dose of, e.g., about 30 mL, of NO 2 gas at a pressure of, e.g., about 960 Torr. After this NO2 dose there is carried out an NO2 purge, e.g., a 7 s purge with argon. Then a second cycle step is carried out with a dose of, e.g., about 6 mL, of TMA vapor at a pressure of, e.g., about 10 Torr. This TMA dose is then followed by a 2 min purge with argon. This final purge ends a NO2-TMA cycle.
- a dose of, e.g., about 30 mL, of NO 2 gas at a pressure of, e.g., about 960 Torr After this NO2 dose there is carried out an NO2 purge, e.g., a 7 s purge with argon.
- a second cycle step is carried
- the number of such cycles to be repeated is determined based on the completeness of coverage provided by a selected functionalization layer.
- the dose size, pressure, and other process parameters are dependent on reactor size and therefore are to be adjusted accordingly for a given reactor and other process conditions.
- the residence time, or life time, of the adsorbed NO2 species resulting from the 30 mL dose of NO2 gas is sufficient for the adsorbed NO2 species to remain on the nanotube surface until the TMA vapor is introduced for reaction with the adsorbed NO2 species.
- the example process temperature of room temperature is one process parameter that can be easily controlled to impose this condition. For many applications, a process temperature of about room temperature, 25°C, can be preferred, but the invention is not limited to room temperature processing.
- the processing temperature is preferably less than about 200°C and can be lower than room temperature if such is suitable for a given application.
- a functionalization layer of one monolayer or at most a few monolayers is all that is required to provide the functional groups necessary for nucleation of a selected material to be deposited subsequently on the functionalization layer surrounding a nanotube.
- Relatively thick functionalization layers may be porous and not optimally uniform or conformal.
- the example NO2-TMA functionalization process described above is characterized by such self-limiting behavior, limiting a resulting functionalization layer thickness to one monolayer, which is less than about 1 nm in thickness.
- the self-limiting nature of this reaction can be preserved by limiting the number of functionalization ALD cycles to less than 100 cycles, so that only a controlled, self- limited monolayer of material forms. If the dose number is too large or exposure too great, the NO2-TMA process can produce a thicker amorphous multilayer that is not self- limiting, as described in detail below. Such a multilayer is not a true ALD process and cannot be guaranteed to provide the conformality and uniformity required by the invention.
- less than 100 cycles can be preferred, or more preferably, less than 50 cycles.
- the number of cycles to be employed should be sufficient to fully or at least nearly completely cover a nanotube surface. For most applications, preferably more than 10 cycles, and more preferably, more than 25 cycles are sufficient for nearly complete coverage.
- an intermediate stabilization layer can be applied in accordance with the invention to encase the functionalization layer and ensure stability of the functionalization layer even at elevated temperatures and extended durations.
- the stabilized functionalization layer provides functionalized nucleation sites such that deposition of a selected material layer can then be carried out on the nanotube.
- the structure can be heated to higher temperatures at which the initial functionalization layer may have desorbed without the stabilization process.
- the stabilization process preferably is conducted at a temperature that does not cause enhanced desorption of the underlying functionalization layer.
- a temperature around room temperature, 25°C can be a preferable stabilization process temperature; a temperature below about 200 0 C can be preferred, with temperatures below about 100 0 C more preferable.
- the stabilization layer preferably just covers the underlying functionalization layer and therefore need be no more than one or just a few monolayers in thickness.
- the stabilization layer holds the functionalization layer in place and preferably forms strong chemical bonds, such as covalent bonds, with the functionalization layer, although such strong chemical bonds are not essential to the invention.
- a selected precursor is introduced to a functionalization layer-coated nanotube for reaction with the layer.
- the criteria to be met include a requirement that the deposition precursor or precursors for the stabilization layer be reactive with the species of the functionalization layer, e.g., with NO2-TMA functionalization layer species, and be sufficiently volatile at the temperature required to maintain the functionalization layer, e.g., about 25°C, for successful delivery of their vapors to the functionalization layer surface.
- Precursors that meet these criteria include dimethylamides of various metals, such as hafnium and zirconium, and methyl derivatives of other metals, such as aluminum, zinc, gallium and bismuth.
- Reaction of such precursors including trimethylaluminum, dimethylzinc, trimethylgallium, trimethylindium, trimethylbismuth, tetrakis(dimethylamido)hafnium or tetrakis(dimethylamido)zirconium with water vapor can be carried out to form a stabilization layer.
- a NO2-TMA functionalization layer can be exposed to a temperature around 50°C and for most conditions still be characterized by a reasonably small desorption rate; at this higher temperature an expanded class of stabilization layer precursors can be employed.
- a layer of AI2O3 can be deposited by ALD to form a monolayer-thick stabilization layer over a functionalization layer.
- an ALD stabilization process can be particularly convenient.
- a selected flow e.g., about 50 seem, of a selected inert gas, e.g., argon gas, is directed through an ALD reactor.
- An example of such is a cylindrical reactor having an inner diameter of 3.4 cm.
- the inert gas flow is controlled, e.g., by a vacuum pump with a pumping speed such that the chamber pressure is maintained at, e.g., about 300 mTorr.
- the temperature is maintained at about room temperature, 25 0 C.
- the first step in one stabilization cycle consists of a dose of, e.g., about 6 mL of TMA, at a pressure of, e.g., about 10 Torr, followed by a 2 min purge with argon. Then in a second process step, water vapor at a pressure of, e.g., about 24 Torr, is pulsed for 0.2 sec. The chamber is then purged with argon for, e.g., about 2 min. This completes one ALD cycle in the formation of a stabilization layer.
- AI2O3 ALD cycles with the process conditions given just above provide an adequate stabilization layer, and thus a process including at least five cycles can be preferred.
- the thickness of an AI2O3 stabilization layer produced by the five ALD cycles is found to be sufficient to keep NO2-TMA complexes held in place on a nanotube for high temperature processing, and yet is thin enough to minimize any adverse effects that the stabilization layer could have on electrical capacitance.
- a particularly preferable process 25-50 ALD cycles of functionalization by NO2- TMA, followed by at least 5 ALD cycles of stabilization by AI 2 O 3 , all at about 25°C, sufficiently functionalizes and stabilizes a nanotube surface for deposition by a selected process, e.g., by conventional ALD.
- Most nanotubes, and in particular SWNTs, treated with this process of the invention exhibit an ideal coating that is uniform and smooth, conformal and continuous along the entire length of the nanotube.
- a functionalization layer can be radially isotropic but may not in all cases remain continuous along the nanotube length, e.g., producing uncoated regions due to desorption.
- a similar undesirable condition is found to exist if water vapor alone is employed as a stabilization precursor in an effort to cross-link NO2-TMA complexes in an underlying function layer.
- a nonuniform functionalization layer is also found to result if the functionalization procedure is carried out at conventional ALD temperatures, which are generally 200°C-400°C.
- the thermal kinetic energy gained by NO2 molecules causes a decrease in adsorption onto a nanotube surface, inhibiting functionalization. It is therefore preferred in accordance with the invention that low temperature ALD techniques be employed for the functionalization and stabilization processes.
- the carbon nanotube can be coated with a selected material by any of a wide range of physical, chemical, vapor, and solution- based deposition processes.
- the deposition process is itself a vapor process, e.g., a CVD process or an ALD process
- SWNTs or MWNTs can be grown, their surfaces functionalized and stabilized in accordance with the invention, and their surfaces coated with ALD or CVD material, all in the same reactor.
- the nanotubes can therefore be kept in a controlled vacuum environment throughout the entire process sequence. This eliminates all sources of contamination and ensures that the selected precursors are indeed directly responsible for each step.
- the ability to execute every process step in one reactor also illustrates the ease with which the functionalization technique of the invention can be integrated into a fabrication process sequence.
- the conditions for producing a selected layer of stabilization material may not be ideal for producing a layer of that same material as-intended for another purpose.
- the stabilization layer can be formed of a material that is also to be subsequently deposited in the formation of a selected device. It can therefore be preferred for such a scenario to first form a stabilization layer of the minimum thickness required for stabilizing the functionalization layer, and then to adjust the deposition conditions to form an intended deposited material.
- a low- temperature AI2O3 deposition step can be conducted, followed by an AI2O3 deposition at temperature and pressure settings that optimize the AI2O3 layer for the intended application.
- the invention contemplates a wide range of devices and structures that can be produced with carbon nanotubes having surfaces that are functionalized in accordance with the invention.
- a wide range of materials can here be employed.
- a functionalized carbon nanotube can be coaxially coated with a selected dielectric layer and then a selected electrically conducting layer, to form a gate structure that can be configured between source and drain regions in the formation of a carbon nanotube-based transistor.
- ALD of a high- ⁇ dielectric coating having a dielectric constant greater than, e.g., about 7, on a SWNT.
- ALD is thus an ideal deposition technique for nondestructively passivating SWNTs with very thin, high- ⁇ materials.
- Coaxial coating is particularly desirable because its realization in accordance with the invention makes coaxially- gated SWNT devices and nanotube-based wires and other circuit elements feasible, as described below. This configuration maximizes the capacitive coupling of the nanotube with a gate layer, which is a crucial step towards optimizing device characteristics.
- a suspended SWNT geometry may be necessary to satisfy scalability requirements for nanoscale SWNT devices. Such a structure necessitates coaxial insulation.
- the functionalization and stabilization layers can under certain conditions effect the capacitive response of such a configuration to applied voltages.
- SWNT devices for many nanoscale microelectronic applications, it can be preferable to conduct electrical analysis of a structure including the functionalization and stabilization layers to determine if non-ideal capacitive properties are produced by the functionalization layer. This can be especially preferred for electronic applications, but is not in general necessary for other non- electronic applications.
- a post-functionalization anneal process in accordance with the invention to reduce or eliminate non-ideal capacitive properties of a functionalized structure.
- the annealing process can be conducted in a conventional furnace that is thermally ramped, in a rapid thermal anneal (RTA) chamber, or other suitable heating system.
- RTA rapid thermal anneal
- the anneal process is preferably carried out at a temperature that is between about 300°C and about 700 0 C.
- an anneal temperature that is between about 500°C and about 600°C can be preferred to substantially completely eliminate unwanted capacitive characteristics.
- anneal temperatures above about 700°C degradation of capacitive characteristics can occur.
- the optimum anneal temperature can be specific to a selected structure geometry, material composition, and functionalization configuration, depending on the properties of the structures and the nature of the functionalization of the structures.
- the anneal temperature, time, and ramp speed are selected specifically to remove energy levels that exist in the functionalization and stabilization layers and that function as electrical charge traps. These electrical charge traps can degrade electronic device performance. Therefore, depending on the selected anneal system and anneal temperature, the duration of the anneal is preferably that duration which is sufficient to reduce the charge traps of the functionalization and stabilization layers to an acceptable level for a given application.
- An anneal time is therefore set preferably based on the particular annealing technique employed, and can range from, e.g., between about 1 minute and about 5 hours, and more preferably between about 2 minutes and about 2 hours. It is to be recognized that given a particular selected anneal system and anneal temperature, some empirical analysis can be required to ascertain an optimal anneal duration.
- the anneal process is not carried out in a reactive gas environment and there is no function for a reactive gas in the anneal process.
- the anneal therefore can be conducted in vacuum or an inert gas, such as Ar, that is compatible with the materials present on the structure to be annealed.
- the anneal process of the invention can be conducted immediately following formation of functionalization and stabilization layers, or can be carried out at a later point in a fabrication process sequence, after additional material layers are deposited on a structure. For many applications, it can be preferred to conduct the anneal prior to metal deposition on a functionalized structure and to minimize the anneal temperature to preserve the thermal budget of a fabrication sequence.
- the surface functionalization processes and the annealing processes of the invention can be applied to any structure having carbon surfaces, and therefore are not limited to single-walled carbon nanotube processing.
- Any structure having carbon surfaces e.g., bulk graphite, such as graphite substrates or chips, graphene sheets or layers provided on a substrate or other structure, buckyballs, or other fullerene structures that exhibit inertness to ALD or CVD precursors or other deposition species, in the manner of carbon nanotubes, can be functionalized by the processes of the invention to overcome such inertness.
- ALD or other deposition or growth technique as described above can be employed to form one or more layers of materials on the carbon surface. These layers of materials can be provided for, e.g., passivation, electrical isolation, voltage biasing, chemical, mechanical, or environmental shielding, or other function related to an intended application for the structure.
- Graphite is a planar carbon-based structure in the family of carbon-based structures that also includes the planar structure graphene and non-planar fullerenes such as carbon nanotubes that are processed in accordance with the invention with functionalization and stabilization layers to enable deposition of material layers on the carbon structure surface.
- fullerene structure is herein meant to refer to any material composed mainly of ordered hexagonal groups of carbon atoms or hexagonal and pentagonal groups of carbon atoms that is shaped in a non-planar geometry.
- Graphene is an example of a planar, single layer of hexagonal carbon atom groups.
- Bulk graphite is a planar structure formed of multiple layers of graphene.
- Non-planar fullerene structure arrangements and layers of carbon- based materials can also be subjected to the functionalization, stabilization, and anneal processes of the invention.
- any three-dimensional fullerene or carbon-based structure whether planar, coaxial, spherical, as in a buckeyball (Ceo) configuration, or other configuration, can be functionalized, stabilized, and annealed in accordance with the invention to enable formation of material layers on the fullerene surface.
- bulk graphite having a surface that is chemically similar to that of a carbon nanotube fullerene structure, can be processed in a similar manner in accordance with the invention.
- a carbon-based structure to be functionalized is first prepared in a geometry that is amenable for functionalization and an intended application.
- Graphite substrates or graphite sheets can be obtained commercially and provided in a suitable configuration.
- Fullerene structures can be synthesized in situ as described above or provided at an intended location after synthesis.
- Graphene can be provided on a substrate or other structure by any suitable method.
- commercially-available highly- oriented pyrolytic graphite or graphite flakes are attached to plastic sticky tape, with the tape folded over the graphite. The tape is then peeled apart to cleave or exfoliate the graphite.
- Bulk graphite or graphite flakes can also first be suitably attached to, e.g., wet photoresist, provided on a substrate; after baking the resist, the graphite is attached to the resist and using sticky plastic tape, flakes of graphite can be repeatedly peeled from the bulk. [0089] Once flakes are produced, the flakes are applied to an intended substrate, e.g., an oxidized silicon wafer. Graphitic films that are thinner than about 50 nm are transparent to visible light but nevertheless can easily be seen on an oxide surface through a microscope because of the added optical path that shifts the interference colors.
- Graphene multilayers can also be grown epitaxially on single crystal silicon carbide substrates. For example, in ultra-high vacuum, 4H- and 6H-SiC crystals can be heated to a temperature of about 1300°C to cause graphene or graphitic films to grow on both the silicon-terminated and carbon- terminated faces of the crystals. More moderate vacuum conditions for the growth can be employed with controlled background gas.
- the layers can then be employed as-grown or can be exfoliated as-described above to produce graphite layers or graphene.
- the invention is not limited to a particular technique for producing graphite or graphene and contemplates the use of advanced techniques in the synthesis of graphene that are not now available.
- the functionalization and stabilization processes of the invention are carried out to provide functional groups, and specifically — CH3 methyl groups, at the carbon surface for enabling nucleation by deposition species such as ALD precursors.
- the cyclic ALD technique described above is employed at a temperature that generally minimizes a tendency of molecular desorption from the carbon-based surface, e.g., about room temperature, 25 0 C.
- a selected flow e.g., about 50 seem, of a selected inert gas, e.g., argon gas, is directed through an ALD reactor.
- a selected inert gas e.g., argon gas
- the inert gas flow is controlled, e.g., by a vacuum pump with a pumping speed such that the chamber pressure is maintained at, e.g., about 300 mTorr.
- One example NO2-TMA functionalization cycle consists of a first cycle step providing a dose of, e.g., about 30 mL, of NO2 gas at a pressure of, e.g., about 960 Torr. After this NO2 dose there is carried out an NO2 purge, e.g., a 7 s purge with argon. Then a second cycle step is carried out with a dose of, e.g., about 6 mL, of TMA vapor at a pressure of, e.g., about 10 Torr.
- the stabilization layer is then formed on the functionalization layer in the manner described above.
- a selected precursor is introduced to a functionalization layer-coated carbon-based structure for reaction with the layer.
- the criteria to be met include a requirement that the deposition precursor or precursors for the stabilization layer be reactive with the species of the functionalization layer, e.g., with NO2- TMA functionalization layer species, and be sufficiently volatile at the temperature required to maintain the functionalization layer, e.g., about 25°C, for successful delivery of their vapors to the functionalization layer surface.
- Precursors that meet these criteria include dimethylamides of various metals, such as hafnium and zirconium, and methyl derivatives of other metals, such as aluminum, zinc, gallium and bismuth. Reaction of such precursors, including trimethylaluminum, dimethylzinc, trimethylgallium, trimethylindium, trimethylbismuth, tetrakis(dimethylamido)hafnium or tetrakis(dimethylamido)zirconium with water vapor can be carried out to form a stabilization layer. It is understood that a NO2-TMA functionalization layer can be exposed to a temperature around 50°C and for most conditions still be characterized by a reasonably small desorption rate; at this higher temperature an expanded class of stabilization layer precursors can be employed.
- a layer of AI2O3 can be deposited by ALD to form a monolayer-thick stabilization layer over a functionalization layer.
- an ALD stabilization process can be particularly convenient.
- a selected flow e.g., about 50 seem, of a selected inert gas, e.g., argon gas, is directed through an ALD reactor.
- An example of such is a cylindrical reactor having an inner diameter of 3.4 cm.
- the inert gas flow is controlled, e.g., by a vacuum pump with a pumping speed such that the chamber pressure is maintained at, e.g., about 300 mTorr.
- the temperature is maintained at about room temperature, 25°C.
- the first step in one stabilization cycle consists of a dose of, e.g., about 6 mL of TMA, at a pressure of, e.g., about 10 Torr, followed by a 2 min purge with argon. Then in a second process step, water vapor at a pressure of, e.g., about 24 Torr, is pulsed for 0.2 sec. The chamber is then purged with argon for, e.g., about 2 min. This completes one ALD cycle in the formation of a stabilization layer.
- the annealing processes of the invention can be employed, if necessary, to reduce or eliminate non-ideal capacitive characteristics of the structure that result from the functionalization.
- the annealing process conditions given above can be employed here, with an inert gas flowing during the annealing process.
- the anneal process is preferably carried out at a temperature that is between about 300°C and about 700°C.
- an anneal temperature that is between about 500 0 C and about 600 0 C can be preferred to substantially completely eliminate unwanted capacitive characteristics.
- An anneal time is set preferably based on the particular annealing technique employed, and can range from, e.g., between about 1 minute and about 5 hours, and more preferably between about 2 minutes and about 2 hours. It is to be recognized that the anneal temperature and duration can differ between various carbon- based materials, structures, and configurations such as fullerene structures like carbon nanotubes, and planar or other three-dimensional configurations,
- the inventors herein have made the discovery that functionalization and stabilization layers produced in accordance with the invention can provide support for coatings and layers on structures that are not carbon-based materials, including, e.g., silicon, silicon dioxide, silicon nitride, glasses, and metals, and other microelectronic materials that are chemically different from the carbon-based family of graphite, graphene, and fullerene structures described above.
- the functionalization and stabilization layers of the invention can be formed on insulating, semiconducting, or conducting structures.
- the microelectronic structures can be planar, and further can be coaxial, spherical, or other selected configuration. Microelectronic wafers and substrates or pieces of substrates, chips, or other structures can be processed with the functionalization and stabilization processes of the invention.
- any structure for which a surface to accommodate material deposition is needed can be functionalized, if the surface of the structure is compatible with the functionalization chemistry.
- 25-50 ALD cycles of functionalization by NO2-TMA, followed by at least 5 ALD cycles of stabilization by AI2O3, all at about 25°C sufficiently functionalizes and stabilizes a structure surface such as a silicon wafer or other structure, for deposition by a selected process, e.g., by conventional ALD, of materials on the structure.
- the annealing processes of the invention described can be employed, if necessary, to reduce or eliminate non- ideal capacitive characteristics of the structure that result from the funtionalization.
- the anneal process is preferably carried out in with flow of an inert gas, at a temperature that is between about 300°C and about 700°C.
- an anneal temperature that is between about 500°C and about 600°C can be preferred to substantially completely eliminate unwanted capacitive characteristics.
- An anneal time is set preferably based on the particular annealing technique employed, and can range from, e.g., between about 1 minute and about 5 hours, and more preferably between about 2 minutes and about 2 hours.
- Example I NO2 Adsorption and Desorption From a Carbon Nanotube The electrical conductance of SWNTs was experimentally analyzed during the functionalization procedure of the invention.
- optical lithography and electron beam evaporation techniques were employed to pattern metal electrodes of 50 nm-thick Mo and 50 nm-thick Ti layers on quartz substrates.
- a focused ion beam (FIB) was employed to form trenches of 1 ⁇ m in width and 0.5 ⁇ m in depth between the edges of the metal electrodes.
- a layer of approximately 0.5 nm in thickness of AI2O3 was deposited by ALD on the electrode surfaces to prevent alloying between the molybdenum and the nanotube catalyst metal subsequently deposited.
- a catalyst region of Co of about 0.3 nm in thickness was deposited and patterned by liftoff on the electrode surfaces to form isolated catalyst regions.
- SWNT synthesis was carried out at atmospheric pressure by bubbling argon at a flow rate of about 450 seem through ethanol at room temperature, 25°C, and flowing the mixture over the quartz substrate structures at a temperature between about 750 0 C and about 900°C in an Inconel® tube having a 3.4 cm inner diameter and a 860 mL volume. Growth times were varied between 30 s and 5 min. The longer growth times produced longer tubes. [00102] For electrical conductance studies, a 30 s growth time was used, to avoid surface bridging of the electrodes by nanotubes. This was not desirable given that the conductance properties of suspended nanotubes were being studied.
- SWNTs became electrically accessible by growing over the trench between the electrodes. Semiconducting SWNTs grown in this way exhibit p-type behavior.
- Fig. 3A is a plot of the measured conductance of one of the suspended SWNTs as a function of time as the NO2 pulsed dosing was carried out. It was found that the measured conductance of the SWNTs increased upon NO2 exposure and was followed by recovery to the initial baseline conductance during the purge. This is expected because in semiconducting SWNTs, adsorption of the NO2 slightly enhances the p-type character of the nanotube through partial charge transfer of electrons from the tube to the adsorbed NO2 molecules. Thus, during the NO2 dosing, the conductance increased.
- Fig. 3B is a plot of measured conductance of a SWNT as a function of time during pulsed TMA dosing. TMA exposure has an effect on the conductive properties of SWNTs that is the opposite of that due to NO2 exposure, and thus causes a decrease in SWNT conductance.
- the measured SWNT conductance following a TMA pulse exposure is seen in the plot to recover slightly due to desorption after each pulse, but it does not return to a constant baseline value as it did with NO2 exposure. This results in an overall negative slope in the conductance/time profile, which may be due to an increase in contact resistance at the Mo electrodes caused by the reaction of TMA with surface hydroxyls.
- the NO2 dosing experiment of Example I was combined with the TMA pulsed dosing, so that each NO2 pulse was followed by a TMA pulse.
- the conductance of a SWNT was measured during the dosing pulse sequence.
- Fig. 3 C is a plot of the measured conductance during the pulsed dosing, and Fig.
- 3D is a plot of conductance for one pulse cycle of the sequence.
- each conductance increase caused by NO2 is offset by a conductance decrease caused by the TMA.
- the conductance returned to a baseline value, and the average slope was zero. This was not the case when NO2 dosing was followed by TMA exposure.
- the current rises stepwise with every NO2-TMA cycle, and there is a net positive slope.
- SWNTs were synthesized as in Example I.
- the SWNTs were subjected to various NO2-TMA functionalization processes, with the number of NO2-TMA functionalization cycles adjusted to elucidate the nature of the resulting functionalization layer.
- a vacuum pressure of about 300 mTorr under the continuous flow of argon at a flow rate of about 50 seem, at a temperature of about 25°C, was employed.
- One functionalization cycle consisted of a 30 mL NO2 vapor dose at about 960 Torr followed by a 2 min purge with argon, then a 6 mL TMA vapor dose at about 10 Torr, followed by a 2 min purge.
- Fig. 4A is a plot of the thickness of the functionalization layer as a function of the number of NO2-TMA cycles.
- the thickness of the functionalization layer remained constant at about one monolayer for up to about 100 NO2-TMA cycles.
- This preserved functionalization layer thickness within the first 100 NO2-TMA cycles confirms that the NO2-TMA technique forms a self- terminating monolayer on the SWNT surface.
- the functionalization layer increased in thickness. Imperfections in the methyl surface eventually led to addition of more material beyond the monolayer, which begins around 200 cycles, and is prevalent by 400 cycles. This breakdown of the self- limiting behavior is found to cause a porous, nonuniform multi-layer to form on the SWNT surface. Because fine control of coating thickness and control of coating uniformity is a desired goal for many applications, it therefore can be preferred to use less than 100 functionalization cycles.
- Inertness of the surface methyl groups to chemical reaction with NO2 may be the reason that the functionalization layer is self-limited at a monolayer. Only when both water and TMA are added does a stabilization layer grow around the functionalization layer. Water molecules are likely to cleave the methyl groups from their aluminum centers, leaving hydroxyl groups (-OH) in their place and methane (CH 4 ) as a gaseous byproduct. This newly hydroxylated surface is in turn susceptible to ALD reactions through similar cleavage mechanisms.
- SWNTs were synthesized as in Example I. The SWNTs were then subjected to the functionalization process of Example III with the duration of the NO2 dosing step in each NO2-TMA functionalization cycles adjusted to elucidate the nature of the functionalization layer.
- Fig. 4B is a plot of functionalization layer thickness as a function of NO2 dosing.
- the thickness of the functionalization layer is directly proportional to the NO2 exposure, i.e., the thickness depends on the number of collisions that NO2 gas molecules can make with the functionalization layer before they are purged. More collisions increase the chance of NO2 molecules finding and adsorbing onto imperfections in the layer. NO2 adsorbed in this way can then act as an adsorption site for incoming TMA. As this happens over many cycles, a multi-layer with appreciable thickness can be produced. Based on this evidence, it can be preferred to optimize the functionalization procedure of the invention by using low exposure, flow- through style dosing, and a relatively low number of cycles, e.g., less than 100, as explained above.
- Example V AI2O3 Deposition on Functionalized SWNTs [00114] A 200 nm-thick silicon nitride membrane was fabricated and FIB milling was employed to form a through-hole extending through the membrane. Co nanotube catalyst regions were formed by ALD of a Co layer on the nitride membrane surface and lift-off patterning of the layer, and then the SWNT growth of Example I was carried out with a 5 min growth time. This was sufficient to grow a relatively high yield of tubes that were long enough to completely traverse the patterned FIB holes in the membrane. During the growth, SWNTs grew across the holes, making their suspended sections ideal for TEM analysis.
- the suspended SWNTs were functionalized in accordance with the invention by exposure to 50 NO2-TMA ALD functionalization cycles in a vacuum pressure of about 300 mTorr, under the continuous flow of argon at a flow rate of about 50 seem at a temperature of about 25°C.
- One cycle consisted of a 30 mL NO2 vapor dose at about 960 Torr followed by a 2 min purge with argon, then a 6 mL TMA vapor dose at about 10 Torr, followed by a 2 min purge.
- the stabilization layer was provided as high- ⁇ AI2O3 deposited by ALD at 25°C.
- One ALD AI2O3 stabilization cycle consisted of a 6 mL does of TMA at 10 Torr, followed by a 2 min purge with argon, then water vapor at about 24 Torr pulsed for 0.2 s in a vacuum at about 300 mTorr, followed by a 5 minute purge with argon. A layer of about 0.5 nm in thickness was formed.
- the temperature was then increased to 225°C for additional AI2O3 deposition on the functionalization and stabilization layers.
- This AI2O 3 deposition was carried by alternating doses of TMA vapor, at a flow of about 6 mL and a pressure of about 10 Torr, and water vapor, at a pressure of about 24 Torr, with the valve open for about 0.2 s. Between doses, 30 to 60 second purges were carried out.
- the base pressure during deposition was 300 mTorr, and there was a constant flow of argon of about 50 seem through the reactor during this process.
- Each ALD cycle of AI2O3 was found to have added about 0.1 nm to the radius of the coating on the SWNT. 20 ALD cycles gave a coating with 2 nm radial thickness. 100 ALD cycles gave a coating with a radial thickness of 10 nm. The resulting ALD coating around the SWNTs was found to be uniform and continuous. This experimentally verifies that deposition of a selected insulating material on a stabilized functionalization layer exhibits little if any inhibition or delay in nucleation of the ALD growth.
- Example VI Prevention against Schottky Barrier Modulation
- SWNTs were synthesized as in Example I. The SWNTs were then exposed to pulsed NO2 doses.
- Figure 5A is a plot of the measured conductance of a SWNT as a function of time as one NO2 dose pulse was applied to the bare SWNT. The exposure caused a conductance increase of ⁇ 10 5 A/V, followed by a decrease due to desorption, as explained in Example I.
- the SWNT was coated with 10 nm of ALD AI2O3.
- One ALD AI2O3 deposition cycle consisted of a 6 mL does of TMA at 10 Torr, followed by a 2 min purge with argon, then water vapor at about 24 Torr pulsed for 0.2 s in a vacuum at about 300 mTorr, followed by a 5 minute purge with argon. The process was carried at room temperature.
- This ALD deposition did not coat the SWNTs, given the inert nature of the bare SWNT surface to ALD deposition, but did coat the Mo/SWNT junctions, passivating the Schottky barriers at the point between each end of a SWNT and the electrical contact on the surface. Without a functionalization layer, the SWNTs were effectively self-masked from deposition of the ALD coating.
- FIG. 5B is a plot of measured conductance as a function of time as NO2 dose pulses were applied to the SWNT after the Mo/SWNT junctions were passivated.
- the conductance increase is now only about 10 7 A/V, two orders of magnitude smaller than it was for SWNTs having exposed Schottky barriers. From this it can be concluded that most of the conductance change caused by NO2 occurs through modulation of the Schottky barriers. Accordingly, this further confirms that the NO2 layer does not significantly perturb the electrical properties of the nanotube.
- Fig. 5C is a plot of conductance as a function of time during the 50 cycle functionalization process for each of the two SWNT conditions.
- Metals including copper, cobalt, nickel, iron, ruthenium, manganese, chromium, vanadium, niobium, tantalum, titanium, lanthanum, rhodium, or other suitable metal layer can be formed by ALD techniques.
- rhodium deposition by ALD can be carried out in accordance with the invention as described by Aaltonen et al., "ALD of Rhodium Thin Films from Rh(acac)3 and Oxygen," Electrochemical and Solid- State Letts., V. 8, N. 8, pp. C99-C101, 2005, the entirety of which is hereby incorporated by reference.
- Metal coating by evaporation, chemical vapor deposition, or other deposition technique can also be employed in accordance with the invention to deposit gold, silver, palladium, or another selected metal.
- Other suitable electrically conducting materials such as tungsten nitride, can also be employed.
- One example technique in accordance with the invention for depositing an electrically conducting material such as tungsten nitride by ALD is described by Becker et al., in "Highly Conformal Thin Films of Tungsten Nitride Prepared by Atomic Layer Deposition from a Novel Precursor," Chem. Mater., N. 15, pp. 2969-2976, 2003, the entirety of which is hereby incorporated by reference.
- the metal deposition results in an insulator-metal core-shell structure around the SWNT.
- the functionalization process of the invention enables this core-shell structure to be formed with SWNTs, overcoming the inherent inertness of SWNTs to conformal layer deposition.
- the functionalization process of the invention also enables this core- shell structure to be produced with ALD processes that can control the thickness of the shell structure at the nanometer scale and that can reliably and reproducibly provide conformal and uniform shell layers.
- a carbon nanotube functionalized in accordance with the invention as in the examples above is coated with a selected one or more materials to render the carbon nanotube hydrophilic and therefore amenable to suspension in a polar solvent such as water or alcohol. Suspensions of such hydrophilic nanotubes can then be employed, e.g., in medical applications. It is recognized that hydrophilic carbon nanotubes are less toxic than uncoated nanotubes and therefore are safer for medical applications.
- the nanotube hydrophilic conditions render the nanotube well-suited for a wide range of applications beyond medical applications in which it can be desirable to process nanotubes in a polar solvent such as water.
- a hydrophilic layer such as a metal oxide or a silicon dioxide layer is deposited by a selected technique, e.g., ALD, on a functionalized carbon nanotube for rendering the nanotube hydrophilic.
- ALD atomic layer deposition
- One example ALD technique in accordance with the invention for deposition of silicon dioxide or any in a range of metal oxides is described in U.S. No. 6,969,539, issued November 29, 2005, by Gordon et al., entitled “Vapor Deposition of Metal Oxides, Silicates and Phosphates, and Silicon Dioxide," the entirety of which is hereby incorporated by reference.
- Chemical vapor deposition (CVD) including low pressure chemical vapor deposition (LPCVD), plasma enhanced CVD
- Carbon nanotubes are synthesized and coated with functionalization and stabilization layers as in Example III.
- the coated carbon nanotubes are then coaxially coated with one more layers of material that preferably are oxidation resistant, e.g., with the AI2O3 material as in Example VI.
- the coated carbon nanotube structure is then separated from the surface or surfaces from which it was synthesized, to produce free carbon nanotubes and to expose the nanotube ends.
- the nanotube structure and support arrangement is suspended in a selected liquid medium, e.g., alcohol, and ultrasonicated to break the ends of nanotubes from the support structure. This releases the coated nanotubes from the support structure and suspends the nanotubes in the medium.
- the liquid medium is then evaporated and the nanotubes are dried.
- the carbon nanotube itself is then removed from each coated nanotube structure by any suitable method, e.g., by oxidation.
- an oxidizing atmosphere such as air or oxygen
- a sufficiently high temperature such as over about 600°C
- carbon nanotubes oxidize and can be completely annihilated by oxidation at, e.g., 700°C- 800°C for 2 hours.
- Annihilation of the carbon nanotube from the coating structure produces a hollow center surrounded by coaxial layers of the material that was deposited on the nanotube.
- a nanotubular structure having an inner diameter that corresponds to the diameter of the original carbon nanotube is formed.
- the original carbon nanotube thereby operates as a removable scaffold, and its removal produces precisely-controlled hollow nanotubes of the selected deposited material or materials.
- the uniform, conformal nature of material deposition that is enabled by the functionalization process of the invention allows for this hollow nanotube production process.
- the diameters of the resulting tubes to be produced by the deposited material can be set at any desired value because growth per ALD cycle is highly reproducible.
- coaxial core-shell structures can also be constructed. Any number of layer arrangements can be employed in formation of such a structure, providing, e.g., conducting, insulating, and semiconducting layer arrangements for use in electronic and sensing applications.
- a hollow nanotubular structure thusly produced can be employed as a hollow gas sensing structure. It is recognized that the electrical resistance of semiconducting metal oxides, such as tin oxide and cobalt oxide, is sensitive to various gases. A metal oxide nanotubular structure therefore enables the production of a highly sensitive gas sensor.
- the hollow nanotubular structures must be placed between electrodes or other device elements for making electrical connection in a circuit or other system.
- Such an arrangement can be accomplished by, e.g., suspending the nanotubular structures in a solvent and spinning the solution onto a surface having electrodes provided thereon.
- lithography can be used to form electrodes at selected locations once the nanotubular structures are positioned on a selected surface.
- the nanotubular structure can be, e.g., tin oxide or cobalt oxide.
- the conductance of the nanotube can be monitored by flowing current through the nanotube.
- the nanotubular gas sensor is exposed to gas, the energy levels in the nanotube are altered, causing a change in the nanotube conductance.
- a transistor configuration is not required for a gas sensing device as no gate is necessary.
- a hollow nanotubular structure can also be employed as a nanowaveguide with appropriate core and cladding material selection.
- One example of such materials are described by Govyadinov et al., "Gain -Assisted Slow to Superluminal Group Velocity Manipulation in Nanowaveguides," Phys. Rev. Lett., V. 97, p, 223902++.
- an etch mask is formed of nanotubular structures.
- a material that is substantially impervious, or at least highly resistant to, a particular etch species is layered on a functionalized carbon nanotube for producing an etch mask material.
- a carbon nanotube surface is first functionalized and stabilized as in Example III above. Then a selected etch masking material is deposited on the functionalized nanotube to form a uniform coaxial layer around the nanotube surface.
- the carbon nanotube is then removed from the structure, following the techniques of Example IX above, to produce a nanotubular structure of the masking material.
- the carbon nanotube is retained in the nanotubular structure.
- a liquid suspension of masking material nanotubes is then produced by placing the tubes in a liquid medium such as water.
- the liquid suspension of masking material nanotubes forms a liquid nanotube resist that can be spin-coated onto a surface in the conventional manner.
- various etch process techniques can be carried out employing the nanotube resist layer as a masking layer.
- the nanotube resist layer can be removed by a suitable technique, e.g., wet etching, dry etching, or chemo-mechanical polishing.
- nanotube coating materials are selected specifically to enable masked etching of a dielectric material on a substrate.
- a material such as SC2O3, Y2O3, LaAl ⁇ 3, MgO, GdSc ⁇ 3, or other selected material can be employed as the nanotubular mask material to be coated on a nanotube.
- Deposition of a layer of one of the selected materials having a thickness of, e.g., about 5 nm - 10 nm, is carried out by ALD or other suitable technique on functionalized carbon nanotubes.
- the carbon nanotubes can then be annihilated by the oxidation process of Example IX, resulting in nanotubular structures of the selected oxide material, or can be retained in the structures if desired.
- a suspension of the nanotubular structures can then be formed with a selected liquid medium.
- This liquid suspension is spin-coated on a dielectric layer to be etched by a fluorine-based plasma. Scandium oxide etches 100 to 1000 times slower than silicon dioxide and therefore is an excellent etch mask for silicon dioxide etch processes.
- the oxide nanotube etch mask is spin-coated on a substrate to provide a blanket masking layer over a dielectric layer on the substrate, for which a low- ⁇ characteristic is desired. Such can be the case for, e.g., intermetal dielectric layers where a dielectric constant of less than about 4 is desired.
- the spin-coated masking layer includes oxide nanotubes at random orientations distributed across the dielectric layer surface.
- a fluorine-based plasma etch process is carried out: 200W of microwave power, 50V of DC bias, 2.0 seem O2 flow rate , 40.0 seem CF4 flow rate , and a pressure of 20.0 mTorr.
- the random pattern of the layer of masking oxide nanotubes shields the underlying dielectric layer in a corresponding fashion such that the plasma etch forms vertical walls, through the layer, of the protected material regions, that are oriented in random directions across the layer.
- the high-aspect ratio pattern of oxide nanotube distribution in the masking layer is therefore replicated in the underlying etched dielectric layer by the plasma etch. This etch process results in a porous dielectric layer having a commensurate low- ⁇ characteristic.
- the dielectric constant of the dielectric layer can be prespecified, e.g., as less than about 4, and tailored by correspondingly selecting the density of nano tubular structures included in the spin- coated masking layer. It is to be recognized that some empirical analysis can be required to correlate the oxide nanotube density of a masking layer with a prescribed dielectric constant for a dielectric layer to be etched.
- the walls in the dielectric layer formed by the plasma etch process are considerably more robust than cylindrical pillars of comparable dimensions made from nanoparticle masks.
- this method enables chemomechanical polishing (CMP) to be carried out on solid dielectric layers that have high mechanical strength, rather than on conventional porous dielectrics that have low strength. Only after the CMP of a top layer is complete is the dielectric etched. In addition, the random orientation of nanotubes produces randomly oriented walls of the remaining dielectric layer enabling a high shear strength in any direction.
- CMP chemomechanical polishing
- the etch depth may conveniently extend at least through the thickness corresponding to the trenches, because the capacitance between the trenches causes more significant delays in signal propagation than the dielectric remaining between the vias.
- the etch mask can be removed by any convenient cleaning process, such as blowing with a gas or a spray of dry ice pellets, or by CMP. Any nanotubes that escape the polishing step to remain on the dielectric layer are harmless in that they are insulators themselves and therefore cannot cause a short circuit between metal layers.
- Example XI Fabrication of a Coaxially-Gated Ballistic SWNT Field Effect Transistor A SWNT is synthesized in a configuration that is suitable for coaxial coating, such as the synthesis process of Example I.
- the surface of the synthesized, suspended SWNT is then functionalized, stabilized, and coaxially coated with an insulator, such as AI2O3, and then a selected metal, such as WN, as in Example VII.
- the coaxial, suspended core-shell SWNT structure is then coated with a suitable photoresist and the photoresist is exposed only at the ends of the SWNT structure with UV light, electrons, or other suitable resist exposure species.
- the resist is then developed, exposing only the ends of the SWNT core-shell structure.
- the metal and insulator materials are then etched off of the surface of the SWNT at the two ends of the nanotube, e.g., by conventional metal and oxide wet or dry etches, to expose the surface of the nanotube at its ends. Electrical contact pads and electrical connections to the SWNT surface then are formed. The contact pads and electrical connections are produced by evaporation of Pd, Rh or other selected metal that does not form a Schottky barrier with the nanotube onto this structure, making electrical contact between with the exposed SWNT ends and contact pads. A photoresist lift-off technique is employed to pattern the metal contact material.
- Example XIII Bulk-Modulated SWNT Field Effect Transistor Referring to Fig. 6A (not to scale), a supporting substrate 50, e.g., a quartz chip, or other suitable substrate is provided. Electrically conducting contact pads 52, 54, of, e.g., 50 nm-thick Mo and 50 nm-thick Ti layers, are patterned on the substrate to provide transistor source and drain connections. A metal line 56 of Mo and Ti is provided between the source and drain pads 52, 54. The source and drain pads and metal line are formed by conventional liftoff.
- a trench 62 of 1 ⁇ m in width and 0.5 ⁇ m in depth is cut, by focused ion beam or other suitable method, into the substrate 50, across the metal line 56 between the source and drain pads. This forms a gap 60 between the source and drain pads and self- aligns the edges of the source and drain pads with the edge of the trench 62.
- 0.5 nm-thick layer 64 of, e.g., AI2O3 or other selected material is then deposited by ALD on the electrode surfaces to prevent alloying between the molybdenum and the nanotube catalyst metal.
- a catalyst layer 66 of Co of about 0.3 nm in thickness is deposited and patterned by liftoff on the electrode surfaces to form catalyst regions.
- one or more SWNTs 70 are synthesized across the trench 60 between source and drain, as shown in Fig. 6E.
- the catalyst agglomerates at the synthesis temperature and is effectively removed by this process. For clarity only a single synthesized nanotube is shown in the figures.
- a portion of the source and drain electrodes 52, 54 far from the suspended SWNT are masked with glass slide 74 or similar smooth surface, or with a photolithography resist.
- the structure is then coated with a layer 72 of AI2O3 or other suitable material.
- This insulating layer 72 coats the pre-patterned metal electrodes, except the region underneath the masks 74.
- the insulating layer does not coat the suspended section of the SWNT because as-synthesized, the nanotube is inert to vapor deposition processes such as the ALD deposition process. The nanotube is thereby self- masked from the insulator deposition process.
- the insulating layer does, however, coat the junction at the ends of the SWNT and the source and drain pads because the dielectric layer can grow up from the substrate surface around the edges of the nanotube.
- This selective deposition can be carried out with any selected vapor process, including, e.g., low-temperature CVD processes, to which a SWNT is chemically inert.
- the thickness of the layer can be selected to define a chosen gate length.
- the AI2O 3 thickness can be correspondingly selected so that the extent of the nanotube surface that is left uncoated by this step defines the gate length. For example, given a 200 nm-wide trench, then a AI2O3 layer of 50 nm in thickness coats 50 nm at the edges of the trench, as shown in Fig. 6F, leaving an uncoated nanotube suspension length of 100 nm. This 100 nm nanotube length then defines the length of the gate.
- the SWNT is then functionalized as in Example III, with a functionalization and a stabilization layer formed on the nanotube surface.
- a gate dielectric layer 76 of AI2O3 is deposited by ALD or other suitable technique.
- the deposition does coat the functionalized suspended nanotube to define a suspended gate the length of which is defined by this coating.
- the gate dielectric layer 76 is therefore preferably of a thickness selected as the coaxial gate oxide thickness for the field effect transistor. For many applications, a gate oxide thickness of about 5 nm can be preferable.
- a coaxial metal gate layer 78 of WN, TiN, Cu, Ru, or other material is then deposited and patterned by the ALD techniques of the examples above, and with lift-off over the SWNT length.
- Fig. 61 is a schematic planar view, not to scale, indicating the geometry of the gate layer 78 across the length of the nanotube 70 and overlapping the ends of the source and drain connections 52, 54 with the nanotube.
- the thickness of the gate metal is preferably sufficiently large to produce a sheet resistance that is sufficiently small for the selected device geometry.
- ALD of WN is a preferred gate material with a thickness greater than about 20 nm.
- the thickness of the insulating layer at the junction of the SWNT and the source and drain electrical connections is greater than the thickness of the gate dielectric encasing the functionalized length of the SWNT.
- this results from the insulating layer deposition conditions under which the unfunctionalized nanotube is inert to the deposition, and precisely defines the length of the nanotube that operates as the gate.
- the electric field generated by application of a voltage to the gate electrode has a greater effect along the thinly-coated SWNT length than at the thickly-coated SWNT/metal junctions at the ends of the nanotube. Given that the insulating layer at the SWNT/metal junctions is sufficiently thick, the effect of the field at those junctions is substantially negligible, and the SWNT field effect transistor is well-controlled for bulk- modulated operation.
- a supporting substrate 100 e.g., a quartz chip, or other suitable substrate is provided.
- a trench 102 is formed in the substrate and a catalyst region 104 of Co is formed in the trench for growth of a nanotube in the trench.
- the metal catalyst region 104 is produced by evaporation and lift-off, as in the examples above.
- an isolated catalyst region 104 can be produced by blanket ALD of Co or other metal over the entire structure and polish of the metal off of the top surface of the substrate 100.
- a non-conformal refractory protective layer could instead be deposited over the catalyst on the surface of the substrate 100.
- a carbon nanotube 110 is then grown following the synthesis of Example I vertically up and extending out of the trench 102.
- a layer 112 of metal is then deposited over the structure by ALD techniques in the manner given in the examples above for, e.g., Rh, Pd, and Ru. Because an ALD technique is employed for the metal deposition, the section 114 of the nanotube 110 that is freely standing above the substrate is not coated with the metal, given the inertness of the nanotube to the ALD process.
- the region of the nanotube in the trench 102 is coated with the metal because the metal grows from the walls of the trench and thus deposits around the nanotube in the trench. This forms the source (or drain) of the transistor with an electrical connection to the nanotube.
- an insulating layer 116 of silicon dioxide is deposited over the structure, by a vapor process such as a CVD process or the ALD techniques in the manner of the examples above.
- the selected vapor process preferably is one to which the SWNT is inert. With this condition, the section 118 of the nanotube 110 that is freely standing above the substrate is not coated with the insulating layer, given the inertness of the nanotube to the ALD or other selected vapor process.
- the surface 120 of the nanotube 110 is then functionalized and stabilized following the procedure of the examples above, as shown in Fig. 7E, and then as shown in Fig. 7F, a layer 122 of gate dielectric is deposited by ALD techniques over the structure. This adds to the thickness of the first insulating layer 116 and coats the functionalized carbon nanotube 110 as well.
- a layer 124 of gate metal is then deposited by ALD techniques in the manner of the examples above, coating the gate oxide 122 over the nanotube and coating the thicker oxide layer 116.
- a layer 126 of silicon dioxide is then deposited by ALD in the manner of the examples above, covering the gate metal layer 124 for defining the connection to the drain region of the transistor. Then as shown in Fig. 71, the top layers are polished off by CMP to expose the end 130 of the nanotube 110. Then as shown in Fig. 7J, the top region 134 of the gate insulator layer 122 is removed from around the nanotube. This is carried out by a chemically selective etch that removes the high-k oxide without dissolving the thicker insulator 126. For example, if the insulator 126 is Si ⁇ 2, then hydrochloric acid can be used to selectively remove a high-k insulator, such as lanthanum oxide.
- the top region 136 of the metal gate layer 124 is then selectively removed. For example, if tungsten nitride is used as a gate metal, then it is selectively removed by a hydrogen peroxide-ammonia etch solution. With this configuration, then, as shown in Fig. 7L, a layer 140 of silicon dioxide is deposited by ALD techniques on the structure. The silicon dioxide deposits around the nanotube 110 in a region 142 where other surfaces are available for deposition, but does not deposit on the exposed nanotube itself, due to the inert nature of the bare nanotube surface to the ALD process. Finally, as shown in Fig. 7M, a metal layer 144 is deposited by ALD to form the drain contact. With this deposition, source and drain contacts 112, 144, and a gate contact 124 are provided for connection along the nanotube transistor structure, and bulk modulation of the transistor along the nanotube length is achieved.
- a p-type Si wafer was functionalized in accordance with the invention. Before functionalization, the Si substrate, of 0.05 - 0.07 ⁇ -cm, was dipped in dilute hydrofluoric acid (HF) to remove the native oxide. The substrate was then exposed to 5 min of UV/ozone processing to remove surface organics, and re-dipped in dilute HF to remove any oxide created from ozone exposure. The HF-last Si wafer was then functionalized under optimized conditions of 50 NO -TMA cycles at a pressure of 300 mTorr and a temperature of 25°C, and coated with 10 nm of ALD Al O at 250 0 C. For comparison, a 10 nm-thick layer of ALD Al O was also formed on a clean but non-functionalized p-type Si wafer.
- HF hydrofluoric acid
- Fig. 8A is a plot of capacitance as a function of voltage for a non-functionalized Si substrate.
- Fig, 8B is a plot of capacitance as a function of voltage for a non-functionalized Si substrate.
- Capacitors formed on the substrate without the functionalization layer exhibited small amounts of hysteresis and negligible frequency dispersion.
- Capacitors formed on the substrate with functionalization exhibited significant hysteresis and frequency dispersion.
- Example XV P-type Si wafers like those of Example XV were functionalized in the manner of Example XV and were subjected to an anneal prior to metallization in the manner of Example XV.
- Six different anneal temperatures were employed for six distinct anneal processes. All anneals were performed in a 200 seem flowing Ar ambient for two hours at atmospheric pressure. The electrode patterning of Example XV was then carried out.
- Figs. 9A-9F are plots of characteristic hysteresis and frequency dispersion for measured C-V curves for Si wafers having no anneal, an anneal at 300 0 C, at 400°C, at 500 0 C, at6 600 0 C, and at 700 0 C, respectively. It is seen from the plots that the hysteresis and frequency dispersion decrease with higher annealing temperatures. The optimal temperatures are found to be between 500 0 C and 600 0 C, where both the hysteresis and the frequency dispersion are relatively negligible.
- Example XV To determine further the nature of the functionalization layer impact on capacitive characteristics, the MOS structure of Example XV was again fabricated on a p-type Si wafer, of 14 - 20 ⁇ -cm, but here with a 2 nm- thick layer of SiO 2 provided on the wafer. The functionalization layer was sandwiched between the SiO 2 layer and a capping layer of 10 nm-thick Al 2 O 3.
- a suitable anneal e.g., a 500 0 C anneal, after functionalization and ALD dielectric coating, can be preferred in accordance with the invention.
- Such an anneal does not alter the breakdown field of the insulator, but can cause some increase in the leakage current through the film. Even with such an increase, it is preferred in accordance with the invention to include an annealing step for processes in which non-ideal capacitive characteristics are detrimental for a given application.
- a graphite sheet and a graphene layer are individually subjected to 50 NO2-TMA ALD functionalization cycles in a vacuum pressure of about 300 mTorr, under the continuous flow of argon at a flow rate of about 50 seem at a temperature of about 25°C.
- One cycle consists of a 30 mL NO2 vapor dose at about 960 Torr followed by a 2 min purge with argon, then a 6 mL TMA vapor dose at about 10 Torr, followed by a 2 min purge.
- 5 cycles of a stabilization process are carried out.
- the stabilization layer is provided as high- ⁇ AI2O3 deposited by ALD at 25°C.
- One ALD AI2O3 stabilization cycle consists of a 6 mL does of TMA at 10 Torr, followed by a 2 min purge with argon, then water vapor at about 24 Torr pulsed for 0.2 s in a vacuum at about 300 mTorr, followed by a 5 minute purge with argon. A layer of about 0.5 nm in thickness is formed.
- the temperature is then increased to 225°C for additional AI2O3 deposition on the functionalization and stabilization layers.
- This AI2O3 deposition is carried by alternating doses of TMA vapor, at a flow of about 6 mL and a pressure of about 10 Torr, and water vapor, at a pressure of about 24 Torr, with the valve open for about 0.2 s. Between doses, 30 to 60 second purges are carried out.
- the base pressure during deposition is 300 mTorr, and there is a constant flow of argon of about 50 seem through the reactor during this process.
- An anneal is carried out as follows. The base pressure is increased to 1 atm under 200 seem of flowing Ar.
- the Ar flow is kept at 200 seem, flowing through the chamber and out through an oil bubbler.
- the furnace is then ramped to 500°C, and maintained at this temperature for 2 hours. After 2 hours, the temperature is decreased to 25°C, still under 200 seem Ar at 1 atm. If desired, the structure is then ready for further processing.
- the resulting thin layer of aluminum oxide can be employed on the graphite sheet and on the graphene layer to electrically insulate part or all of the graphite or graphene surface as part of a microelectronic device or structure.
- the metal oxide layer can be employed to protect the graphite and graphene from oxidation at high temperatures, or to protect the graphite and graphene from highly oxidizing chemical environments.
- hafnium oxide, rather than aluminum oxide, or other material can be deposited on the functionalized graphite and on the graphene layers, e.g., as described by Hausmann et al., Chemistry of Materials, V. 14, pp. 4350 to 4358, 2002, herein incorporated by reference.
- Carbon nanotubes that were functionalized as in Example III are placed in a furnace chamber and the base pressure is increased to 1 atm under 200 seem of flowing Ar. Once at 1 atm, the Ar flow is kept at 200 seem, flowing through the chamber and out through an oil bubbler. The furnace is then ramped to 500 0 C, and maintained at this temperature for 2 hours. After 2 hours, the temperature is decreased to 25°C, still under 200 seem Ar at 1 atm.
- the functionalization, stabilization, and annealing steps of the present invention can be applied to a wide range of substrates and three-dimensional structures, such as carbon nanotubes, other fullerene structures, planar and non-planar carbon-based materials, and various microelectronic structures.
- the invention is well-suited for enabling material deposition on any three-dimensional structure, planar or non-planar, that is inherently inert to such deposition and that is compatible with the functionalization and stabilization processes.
- the structure can be used as a scaffold for growing many other materials by ALD or other selected process, including metals and their oxides, nitrides, and sulfides.
- ALD as the material coating process
- the thickness of the coating can be set at any desired value because the growth per ALD cycle is highly reproducible.
- nanolaminate or core-shell structures can also be constructed, e.g., with nanotubes.
- Thin plates of oxidation-resistant material can be formed by oxidation of a graphite substrate under which an oxidation- resistant coating is provided after the functionalization process.
- an anneal step can be appropriate to reduce or eliminate undesirable capacitive properties, including trapped charges and recombination centers. Because the functionalization technique of the invention avoids covalent modification of the underlying structure, it is understood that the optoelectronic properties of structures are preserved.
- a wide range of electronic devices including SWNT devices with ultrathin gate dielectrics and coaxially- gated geometries, and planar graphene devices, are enabled by the processes of the invention.
- a wide range of applications of the functionalized carbon nanotubes provided by the invention include coaxially- gated carbon nanotube-based devices, carbon nanotube-based sensors, and nanotubes of selected materials for, e.g., etch masking.
Abstract
The invention provides methods functionalizing a planar surface of a graphene layer, a graphite surface, or microelectronic structure. The graphene layer, graphite surface, or planar microelectronic structure surface is exposed to at least one vapor including at least one functionalization species that non-covalently bonds to the graphene layer, a graphite surface, or planar microelectronic surface while providing a functionalization layer of chemically functional groups, to produce a functionalized graphene layer, graphite surface, or planar microelectronic surface.
Description
GAS-PHASE FUNCTIONALIZATION OF SURFACES INCLUDING CARBON-BASED SURFACES
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This is a continuation-in-part of prior Application No. 11/703,375, filed February 7, 2007, which claims the benefit of U.S. Provisional Application No. 60/766,000, filed February 7, 2006, the entirety of both of which applications are hereby incorporated by reference. This application claims the benefit of U.S. Provisional Application No. 60/934,454, filed June 13, 2007, the entirety of which is hereby incorporated by reference.
STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH [0002] This invention was made with Government support under
Contract No. CTS-0236584 awarded by NSF. The Government has certain rights in the invention.
BACKGROUND OF THE INVENTION
[0003] This invention relates to techniques for forming layers of material on a surface of a structure, and more particularly relates to techniques for functionalizing surfaces, including surfaces of carbon-based structures, to enable the formation of layers of material on a structure surface.
[0004] In the fabrication of electronic and microelectromechanical systems, a selected coating material or coating process can be found incompatible -with a chosen substrate or material structure on which the coating material is to be formed. For example, atomic layer deposition (ALD) of a coating material on a structure surface requires that the structure surface be reactive with the ALD precursor molecules required for producing the selected coating material. But for many material systems, a chosen substrate or material structure may be chemically inert to the requisite ALD precursor molecules for producing a desired material layer on the structure.
[0005] For example, this condition is true in general for carbon-based materials such as graphite, graphene, carbon nanotubes, and other fullerene structures. Such materials are characterized by a composition mainly of ordered hexagonal groups of carbon atoms or hexagonal and pentagonal groups of carbon atoms. For example, graphene is a planar layer of carbon atoms that are bound together in a hexagonal network that is one atom thick. Graphite is a bulk structure composed of multiple stacked layers of graphene. Carbon nanotubes can be considered as graphene sheets rolled into nanoscale cylinders. Buckyballs and other so-called fullerene structures can be considered as layers of graphene rolled into nanoscale spheres or other three- dimensional structures.
[0006] In general, the carbon surfaces of these structures are chemically inert to a wide range deposition species, including many ALD precursor molecules. As a result, many materials cannot be deposited or otherwise formed on the surfaces of these structures. But the unique physical, electrical, and chemical properties of these carbon-based structures are of particular importance for next- generation electrical and optical applications and for many nanoscale systems.
[0007] For example, carbon nanotubes are being employed increasingly for a wide range of nanosystems and nanodevices. The unique electronic structure, exceptional elastic properties, and extremely high aspect ratio all characteristic of carbon nanotubes address many considerations that are currently of interest for nanosystems and nanodevices. In the microfabrication of such carbon nanotube-based systems and devices, it can be desirable or required to deposit one or more layers of material on a nanotube surface. Coaxial coating of a carbon nanotube, such that the longitudinal coaxial surface of the nanotube is substantially fully surrounded by one or more coated layers, can be particularly desirable, for, e.g., providing a coaxially symmetric nanotube structure and material properties. Surround-gate transistors and other device configurations are particularly reliant on such a coaxial coating
arrangement. Further, suspended carbon nanotube-based device geometries, often employed for sensing applications, generally are preferably implemented with a coaxially-coated nanotube.
[0008] A nanotube wall configuration, electronic structure, and surface properties can all impact the ability to deposit a selected material on the surface of a nanotube. For example, uniform, conformal coating of multi- walled carbon nanotubes (MWNTs) can under some conditions be accomplished by atomic layer deposition (ALD) or by chemical vapor deposition (CVD). ALD allows for the deposition of a wide variety of materials at relatively low processing temperatures with superior thickness precision and high composition uniformity, and therefore is an attractive deposition technique for many applications. By enabling such a high degree of deposition precision, ALD well-addresses carbon nanotube nano-scale geometries and overcomes the limitations of CVD deposition techniques. But for many applications, it is understood that MWNTs can be coated by an ALD process only because
MWNTs are characterized by the existence of defects, at nanotube surfaces, that can act as nucleation sites for ALD precursors. MWNT ALD coatings therefore cannot be guaranteed to be reproducible or uniform. Single-walled carbon nanotubes (SWNTs) are characterized by a much more ideal and defect- free surface structure than MWNTs. SWNTs are found to be chemically inert to ALD precursor molecules. As a result, continuous ALD coating onto a SWNT by ALD is not in general conventionally achievable for any process conditions.
[0009] Other similar scenarios exist in which a selected coating material or coating process is found incompatible with a chosen nanotube wall structure or other configuration. For example, chemical vapor deposition processes in general cannot be guaranteed to produce a uniform nanotube coaxial coating, and can require plasmas or deposition temperatures that are so high as to impact the electrical or mechanical properties of a nanotube. For many process conditions, SWNTs are chemically inert to CVD precursors at CVD
temperatures less than about 400°C. Although physical deposition methods (PVD), such as sputtering or evaporation, can sometimes deposit metals or other materials directly onto SWNTs, such deposits are not conformal, and do not uniformly surround the tubes due to, e.g., the directional nature of the methods. A "conformal" coating on a nanotube is here meant to refer to a coating that wraps completely around the nanotube with uniform thickness on all sides. It is therefore difficult to reliably and benignly make conformal layers of material around a nanotube.
[0010] For many applications, it is desirable to coat a nanotube uniformly and conformally with insulating and metallic materials in the formation of an electronic device such as a coaxially- gated nanotube transistor. But in general it can be difficult to uniformly and conformally coat a nanotube, and particularly a SWNT, with selected materials. As explained above, SWNTs are inert to ALD precursors and therefore cannot be coated by an ALD process. CVD and PVD techniques do not reliably produce a thin, uniform and conformal layer on a nanotube. Liquid- chemical deposition methods are known to enable the coating of SWNTs with Siθ2, which is a low-κ dielectric, but do not enable the deposition of higher- K materials on a nanotube.
[0011] It has been suggested that to overcome this difficulty, a nanotube surface can first be functionalized to render the surface susceptible to deposition precursor molecules, thereby to enable deposition by a selected technique such as ALD. For example, a liquid-based technique can be employed for functionalizing a SWNT surface by covalent chemical bonding of a functionalization layer to the SWNT surface. The resulting layer provides functional groups, covalently bonded to the nanotube longitudinal sidewall, that are reactive with deposition precursors such as ALD precursor molecules.
[0012] While this technique indeed enables uniform ALD film deposition on covalently functionalized SWNT surfaces, the liquid-based process is procedurally tedious and could be impractical for large-scale fabrication scenarios. Furthermore, the covalent nature of the chemical bonding process
can in general change the hybridization state of a nanotube, destroying optoelectronic and/or other properties of the nanotube. A post- functionalization heat treatment or other process can be required to recover the initial hybridization state of such a functionalized nanotube. As a result, it has heretofore been impractical to employ covalent functionalization as a means for enabling uniform deposition of a selected material, and particularly an insulating material, on a carbon nanotube.
[0013] This condition applies in general across the family of carbon- based materials and structures including graphene, graphite, buckyballs, and fullerene structures other than carbon nanotubes. Covalent functionalization of such carbon-based structures is for many applications detrimental and/or not possible within the limitations of a given microfabrication process sequence. The technical potential for such structures in microelectronic and nanoscale system applications is therefore limited by an inability to form layers of selected materials on the structures.
SUMMARY
[0014] The invention overcomes the limitations of covalent-bonding, liquid-based surface functionalization techniques by providing a functionalization method that requires only weak, physical bonding, such as non-covalent bonding, to produce a functionalization layer on the structure.
In one example process provided by the invention, a carbon nanotube surface is exposed to at least one vapor including at least one functionalization species that non-covalently bonds to the nanotube surface, to form -CH3 functional groups at the nanotube surface. The functionalized nanotube surface can then be exposed to at least one vapor stabilization species that reacts with the functionalization layer to form a stabilization layer that stabilizes the functionalization layer against desorption from the nanotube surface while providing chemically functional groups at the nanotube surface to produce a stabilized nanotube surface. The functionalization and stabilization layers can be characterized as substantially one monolayer in
thickness. The stabilized nanotube surface can then be exposed to at least one material layer precursor species that deposits a material layer on the stabilized nanotube surface.
This enables production of a single-walled carbon nanotube that is connected between electrically conducting source and drain contacts, with an insulating layer of a first thickness disposed on at least a portion of the source and drain contacts and covering a span of the nanotube, at each end of the nanotube at the source and drain contacts, that is substantially equal to the first thickness. Then a gate dielectric layer of a second thickness, less than the first thickness, can be disposed coaxially around a central span of the nanotube on which was not disposed the insulating layer of a first thickness, and an electrically conducting gate metal layer can be disposed at least coaxially around the dielectric layer on the nanotube. [0015] The processes of the invention extend to methods for functionalizing a planar surface of a graphene layer, a graphite surface, or microelectronic structure. Here, the graphene layer, graphite surface, or planar microelectronic structure surface is exposed to at least one vapor including at least one functionalization species that non-covalently bonds to the graphene layer, a graphite surface, or planar microelectronic surface while providing a functionalization layer of chemically functional groups, to produce a functionalized graphene layer, graphite surface, or planar microelectronic surface.
After functionalizing a structural surface in accordance by exposing the surface to at least one vapor including at least one functionalization species that non-covalently bonds to the surface while providing a functionalization layer of chemically functional groups, to produce a functionalized surface; the functionalized surface can be exposed to at least one vapor stabilization species that reacts with the functionalization layer to form a stabilization layer that stabilizes the functionalization layer against desorption from the surface while providing chemically functional groups at the surface, to produce a stabilized
surface. Then the stabilized surface can be annealed at a peak annealing temperature between about 300°C and about 700°C.
[0016] The functionalization, stabilization, and annealing steps of the invention can be applied to a wide range of substrates and three-dimensional structures, such as carbon-based structures like carbon nanotubes, other fullerene structures, planar and non-planar carbon-based materials, and various microelectronic structures. The invention is well-suited for enabling material deposition on any three-dimensional structure, planar or non-planar, that is inherently inert to such deposition and that is compatible with the functionalization and stabilization processes.
[0017] Other features and advantages of the invention will be apparent from the following description and accompanying figures, and from the claims.
BRIEF DESCRIPTION OF THE DRAWINGS
[0018] Fig. IA is a schematic side view of a carbon nanotube suspended over a trench for functionalization in accordance with the invention;
[0019] Fig. IB is a schematic planar view of carbon nanotubes disposed on a surface for functionalization in accordance with the invention;
[0020] Figs. 1C-1D are schematic side and planar views, respectively, of a carbon nanotube suspended over a through-hole in a support structure for functionalization in accordance with the invention;
[0021] Fig. IE is a schematic view of carbon nanotubes disposed vertically from a substrate for functionalization in accordance with the invention;
[0022] Fig. IF is a schematic view of a carbon nanotube suspended from the edge of a beam for functionalization in accordance with the invention;
[0023] Fig. 2 is a schematic diagram of the reaction mechanism for
A1(CH3)3 with NO2 adsorbed on a single-walled carbon nanotube surface in the functionalization process of the invention;
[0024] Fig. 3A is a plot of measured conductance of a SWNT as a function of time as NO2 is adsorbed and desorbed from the surface of the SWNT;
[0025] Fig. 3B is a plot of measured conductance of a SWNT as a function of time as A1(CH3)3 is adsorbed and desorbed from the surface of the
SWNT;
[0026] Fig. 3C is a plot of measured conductance of a SWNT as a function of time as ALD functionalization cycles of NO2 and A1(CH3)3 dosing are carried out; [0027] Fig. 3D is a plot of measured conductance of a SWNT as a function of time as one ALD functionalization cycle of NO2 and A1(CH3)3 dosing is carried out;
[0028] Fig. 4A is a plot of measured functionalization layer thickness as a function of number of ALD functionalization cycles of NO2 and A1(CH3)3 dosing;
[0029] Fig. 4B is a plot of measured functionalization layer thickness as a function of NO2 dosing in an ALD functionalization cycle of NO2 and Al(CHs)3 dosing;
[0030] Fig. 5A is a plot of measured conductance of a SWNT as a function of time as one pulse of NO2 dosing is adsorbed and desorbed from the surface of the SWNT where the SWNT Schottky barriers with electrodes at the SWNT ends have not been passivated;
[0031] Fig. 5B is a plot of measured conductance of a SWNT as a function of time as one pulse of NO2 dosing is adsorbed and desorbed from the surface of the SWNT, where the SWNT Schottky barriers with electrodes at the SWNT ends have been passivated;
[0032] Fig. 5C is a plot of measured SWNT conductance as a function of time as multiple NO2 pulse dosing is carried out, indicating the conductance for a SWNT having Schottky barriers with electrodes at the SWNT ends that
have not been passivated and are exposed, and for a SWNT having Schottky barriers with electrodes at the SWNT ends that have been passivated and are coated;
[0033] Figs. 6A-6I are schematic views of process steps in the fabrication of a doubly-suspended carbon nanotube field effect transistor in accordance with the invention;
[0034] Figs. 7A- 7M are schematic side views of the process steps in the fabrication of a vertical carbon nanotube field effect transistor in accordance with the invention; [0035] Figs. 8A-8B are plots of capacitance as a function of applied voltage for MOS structures formed on a Si substrate that does not include a functionalization layer and on a Si substrate that does include a functionalization layer, respectively; and
[0036] Figs. 9A- 9F are plots of capacitance as a function of applied voltage for MOS structures formed on a Si substrate and not annealed, annealed at 300°C, annealed at 400°C, annealed at 500°C, annealed at 600°C, and annealed at annealed at 700°C, respectively.
DETAILED DESCRIPTION OF THE INVENTION [0037] The functionalization processes of the invention can be applied to substantially any structure having a surface chemistry that is attractive to the functionalization species, as described in detail below. Once functionalized, vapor or liquid processing at the surface of a structure can be carried out to form one or more layers of material on the structure. Example structures to be functionalized in accordance with the invention include planar microelectronic material substrates, e.g., wafers, pieces of substrates, chips, or other planar microelectronic structures having a surface chemistry that is compatible with the functionalization chemistry. Layered, composite, or matrix materials and structures or other planar microelectronic structures having surfaces to be functionalized can also be processed. Silicon, silicon dioxide, silicon nitride,
glasses, metals, and other insulating, semiconducting, and conducting microelectronic materials can be functionalized in accordance with the invention. The material structures can be planar, as well as coaxial, spherical, or some other selected geometry, and can be provided as a microelectromechanical or nanoelectromechanical structure or system. In general, any structure for which there is required a surface to accommodate material formation and/or deposition can be functionalized, if the surface is compatible with the functionalization chemistry.
[0038] Considering the important class of carbon-based structures, any structures having carbon surfaces, including bulk graphite, graphene, carbon nanotubes, buckyballs, and other fullerene structures that exhibit inertness to precursors for ALD or other material deposition, formation, or growth process can be functionalized by the processes of the invention to overcome such inertness. Carbon nanotubes present an important example of a carbon-based structure for which functionalization is required. For clarity, the following description first presents an example functionalization process directed specifically to carbon nanotubes, but the invention is not limited to such, and further examples of alternative structure functionalization are presented later in the description. [0039] The functionalization process of the invention can be carried out on a carbon nanotube of any selected wall configuration, including single- walled nanotubes (SWNTs) and multi- walled nanotubes (MWNTs), and can be carried out on a nanotube of any selected electrical state, including metallic or semiconducting nanotubes. For any of these conditions, the functionalization process of the invention produces a functionalization layer that is physically bonded to the outside surface of a nanotube in a benign manner that avoids chemical modification of nanotubes, thereby preserving the electrical, optical, and mechanical properties of the nanotube. While not chemically bonded to the nanotube surface, the functionalization layer of the invention provides chemically- active functional groups at the nanotube surface that are reactive
to deposition precursors for depositing a material layer uniformly over the functionalization layer. The functionalization layer of the invention thereby preserves the nanotube properties while providing a nanotube surface condition that facilitates subsequent deposition of a uniformly thick film or films all over the surface of the nanotube.
[0040] Once a carbon nanotube surface is functionalized in accordance with the invention, vapor or liquid processing of the nanotube can be carried out to deposit one or more layers of material on the nanotube in a precise, reliable, conformal manner. ALD techniques, chemical vapor deposition (CVD) techniques, plasma deposition techniques, other physical deposition techniques, and solution-based deposition techniques can all be employed to produce on a functionalized carbon nanotube a desired configuration of a material layer or layers. Example functionalization processes provided by the invention and example material deposition processes are described in detail below.
[0041] For many applications, it can be preferred to deposit a material on a nanotube in a manner that coaxially coats the nanotube surface, i.e., that surrounds at least a portion of the length of the nanotube. To achieve this condition, it is accordingly preferable to substantially completely coaxially coat a nanotube with a functionalization layer of the invention, along substantially a selected portion or the entire longitudinal sidewall length of the nanotube. This can be accomplished conveniently if the nanotube to be coated is synthesized or placed in an arrangement that provides exposure of the nanotube sidewall circumference along some or all of the nanotube length. [0042] Fig. IA is an example of such a configuration. Here, a nanotube
10 has been synthesized between two catalyst regions 12, 14 across a trench 16 provided in a substrate structure 18. The suspension of the nanotube across the trench provides complete access to the nanotube sidewall, thereby enabling the formation of a functionalization layer around the entire nanotube. In contrast, in an arrangement like that shown in Fig. IB, nanotubes 10 that are
synthesized lying flat on a substrate 18 are not fully exposed to the ambient, and cannot in one step be fully coated with a functionalization layer.
[0043] In accordance with the invention, nanotubes that are synthesized in the configuration of Fig. IB can be manually manipulated to be arranged in a suspended configuration like that of Fig. IA, or other suitable configuration, for enabling full coating. For example, nanotubes can be moved to positions over holes or trenches by flowing after growth in another selected location. Alternatively, the nanotubes can be maintained flat on a surface and multiple coating cycles be employed with manual rotation of the nanotubes between each cycle.
[0044] Turning to Figs. 1C- ID there is shown a second example of a suspended nanotube configuration. In this example, a nanotube 10 has been synthesized between two catalyst regions 12, 14 across a through-hole 20 in a substrate 18. As shown in Fig. ID, the through-hole can be square, or can be of another selected geometry, either straight or curved. The trench 16 of the example of Fig. IA likewise can be of any suitable geometry across which a nanotube can be synthesized. Where a through-hole is preferred, such can be provided in a substrate, as in Figs. 1C-1D, or through a self- supported membrane or other suitable structure. [0045] As shown in Fig. IE, nanotubes 10 can alternatively be synthesized vertically, grown down or up like blades of grass from the surface of a substrate 18 on which is provided catalyst regions or a blanket catalyst layer. This synthesis arrangement provides full circumferential access to the nanotubes for forming a functionalization layer fully around the nanotubes. In this arrangement, the nanotubes are anchored to a substrate only at one end, and can be maintained in this rooted configuration during functionalization and coating processes. Such a condition can also be achieved in a horizontal arrangement, shown in Fig. IF. Here a nanotube 10 is synthesized from the end of a horizontal structure, e.g., a beam 22 provided on a substrate 18. A
nanotube catalyst region can be provided at a selected location on the beam or along the beam length.
[0046] In the examples of Figs. IA and 1C-1F, one or more carbon nanotubes are synthesized in place in a selected arrangement that is convenient for coating the full circumference of the nanotube surface along the nanotube length. This can be extended to synthesis of a nanotube in place in a selected device or system configuration for which the nanotube is intended, where the full circumference of the nanotube is to be exposed during operation. Examples of such devices are described in detail below. But as explained above, where such is not the case, nanotubes can be synthesized in any desired fashion and then arranged to expose their full coaxial surface for functionalization of the full surface, or can be manipulated such that repeated functionalization steps produce a functionalization layer on the full surface. Where it is not required to functionalize the full surface of a nanotube, the nanotube can be provided in an arrangement such as Fig. IB with a selected surface region to be functionalized made accessible to the functionalization process.
[0047] The invention does not require any particular nanotube synthesis process and is not limited to a particular process. Nanotubes can be obtained from commercial sources or synthesized in a desired manner and with selected characteristics such as wall number and electrical conductivity. Example nanotube synthesis techniques and configurations that can be employed in accordance with the invention are described in U.S. Patent Application Publication No. US 2006/0006377, entitled "Suspended Carbon Nanotube Field Effect Transistor," Published January 12, 2006, the entirety of which is hereby incorporated by reference.
[0048] For example, nanotube catalyst materials of, e.g., Fe, Co, Ni, alloys of such, or other metals or suitable materials, can be provided in a patterned configuration or a blanket arrangement on a substrate or other structure, in the conventional manner, for synthesis of nanotubes therefrom.
Where it is desirable to synthesize a nanotube in situ in a selected device configuration, catalyst regions can be provided on, e.g., metal contact pads, in the manner described below, such that a resulting nanotube device configuration includes electrical connection points at ends of the nanotube. For applications in which a nanotube is to be synthesized across a through- hole, the catalyst material can be provided on a substrate or membrane in an arrangement such that the through-hole can be formed in one step through the catalyst and the underlying substrate or membrane to provide alignment of the edge of the catalyst region with the edge of the through-hole. For applications in which SWNTs are desired, a relatively thin catalyst layer, e.g., of 2 nm in thickness or less, can be preferred.
[0049] Nanotube synthesis can be carried out by CVD or other selected vapor deposition process. In one example process, CH4 is flowed, at a rate of, e.g., about 200 seem, at a temperature of, e.g., about 900°C, for a selected duration, e.g., up to about 5 minutes in a CVD chamber. In a further example synthesis process, argon gas is flowed at, e.g., about 450 seem, at atmospheric pressure, through anhydrous liquid ethanol at 25°C, room temperature, with the vapor mixture then flowed over a catalyst-coated region at a temperature of, e.g., between about 750°C -900°C, in, e.g., an Inconel annealing tube, for a duration of, e.g., between about 30 s and 30 min. Other synthesis processes can also be employed.
[0050] With these example nanotube synthesis techniques, nanotubes can be grown across through-holes or trenches provided in substrates or membranes, can be grown vertically up or down from a catalyst surface, or can be grown from the edge of a beam or other structure. Multiple nanotubes can be expected to grow from each catalyst region and remain at least partially suspended over holes or trenches or from anchor points such as beams or other surfaces. Once one or more nanotubes are synthesized or arranged in a manner that accommodates formation of a functionalization layer on a selected region of the nanotube or the complete wall surface of the nanotube, the
functionalization process of the invention is carried out to enable deposition of additional selected material layers on the nanotube.
[0051] The functionalization process of the invention produces at the nanotube surface a functionalization layer that is substantially conformal and uniform and that provides chemically functional groups amenable to deposition of a material layer thereon and/or that can react with coating precursors such as ALD or CVD precursor molecules. The functionalization layer does not, however, significantly disrupt the electronic structure or other properties of the nanotube and therefore is benign to the nanotube. Accordingly, in the functionalization process, there is delivered to the nanotube surface a species that can physically attach to the surface for uniformly coating the surface but which does not chemically react with the surface. The functionalization layer is physisorbed at the nanotube surface but is not chemisorbed at the nanotube surface. [0052] Such a condition can for many cases be characterized as non- covalent bonding. Covalent bonding typically characterizes a condition in which localized interaction between species can trap electrons and disrupt electronic properties. The functionalization process of the invention avoids this condition by employing a functionalization species that does not result in localized electronic interaction with the nanotube surface. The non-covalent physical bond of the functionalization species to a nanotube surface is strong enough to hold the species in place for at least a limited duration, but is reversible, and therefore can desorb from the surface. With this condition, the physical bond is not of a nature that perturbs the structure of the nanotube surface.
[0053] One example functionalization species that can be employed in accordance with the invention is NO2. NO2 is characterized by a high binding efficiency that results from low lying electronic states. This high binding efficiency, in combination with a tendency to strong Van der Waals attraction, enables NO2 to physically bond to a nanotube surface without chemically
bonding to the surface. Without chemical bonding to the surface, the NO2 does not strongly perturb the surface electronically or chemically. NO2 can be delivered to a nanotube surface as a gaseous vapor species. For many applications, it is preferred in accordance with the invention that the functionalization species be a vapor species, rather than a liquid species, for enabling precision in deposition as well as for convenience. NO2 thereby is particularly well-suited as a functionalization species.
[0054] The characteristics of NO2 given just above generally describe the requirements of a functionalization species of the invention. The functionalization species should be adsorbed on and non-covalently physically bond to, or physisorbed on, a nanotube surface without significantly perturbing the chemical and/or electronic states of the nanotube. The physisorbed functionalization species should be capable of operating as nucleation sites for reaction with one or more precursors for depositing a material layer on the nanotube. The functionalization species should also preferably be deliverable to a nanotube surface in the vapor phase.
[0055] The invention is not limited to the NO2 functionalization example.
Any species that provides the surface-bonding and precursor-reaction characteristics described above can be employed as a functionalization species. For example, methyl nitrite gas, CH3ONO, can be employed in place of NO2 gas.
[0056] Species and processes that result in covalent bonding with a nanotube surface cannot be employed in the functionalization process of the invention. For example, layers of some metals, such as Ti and Cr, are understood to impact the electronic state of nanotubes and to covalently bond to the nanotube surface. As a result, such metals cannot be employed as a functionalization species in the functionalization process of the invention. In contrast, NO2 does not substantially alter the electrical state of nanotubes to which it is attached. Any nanotube conduction enhancement produced by an NO2 coating, e.g., a slight p-type conduction enhancement that is known to be
characteristic of NO2 coating on nanotubes, is almost entirely due to electrostatic modification at the metal/nanotube interface by Schottky barrier modification, as described in detail below. NO2 does not interact electronically in the sense that covalent bonds are not formed with the nanotube lattice and the hybridization state, i.e., the electronic state, of the nanotube is therefore not changed by the NO2. '
[0057] Furthermore, as metals, Cr and Ti, as well as other electrically conducting materials, cannot be employed as a surface coating on a nanotube that is to be employed as, e.g., a semiconducting element such as a transistor gate. A metal layer on a nanotube gate surface would dominate electrical current transport along the nanotube gate by providing a pathway for electrons to travel through the metal, rather than the semiconducting nanotube, thereby eliminating the requisite transistor gate switching capabilities. [0058] Particular deposition processes can also alter the properties of a nanotube and are therefore cannot be employed as a functionalization process. For example, some high-temperature CVD processes, typically operated at temperatures over 4000C, are known to deleteriously impact the electrical transport properties of nanotubes by changing the electronic structure of nanotubes. This is understood to occur due to a bonding arrangement that is not non-covalent. In other words, the high-temperature CVD process causes formation of covalent bonds with a nanotube that change the electrical properties of the nanotube. In contrast, the low-temperature vapor deposition process of the invention does not form with a nanotube covalent bonds that could alter the electrical properties of the nanotube.
[0059] Considering now the NO2 functionalization species in more detail, it is found that the physical bond of NO2 species to a nanotube surface is reversible, as mentioned above. In other words, adsorbed NO2 molecules tend to desorb from a nanotube surface over time. As a result, although a layer of NO2 molecules coated on a nanotube surface will act as nucleation sites for
deposition of a selected material layer on the nanotube, the NO2 molecules will not in general remain on the nanotube surface for a duration that is sufficiently long to enable the desired material layer deposition. The challenge is to form a continuous layer of NO2 that is preserved for a duration sufficient to carry out a selected material deposition process.
[0060] In accordance with the invention, this is achieved by depositing, preferably from the vapor phase, a layer of NO2 at a temperature that preserves NO2 molecules on a nanotube surface for a duration sufficient to introduce a second functionalization species to react with the NO2 molecules and form a species that is not so easily desorbed from the nanotube surface. The reaction of the NO2 and the second functionalization species forms a more stable complex that does not so rapidly desorb from a nanotube surface and that maintains nucleation sites for deposition of a material and/or reaction with a selected deposition precursor. [0061] Any suitable species that is sufficiently volatile and reactive to bind to the NO2 and stabilize the NO2 on the surface of a nanotube can be employed in the functionalization technique. One example and preferred reactive second functionalization species is A1(CH3)3 (trimethylaluminum or TMA), but other reactants, such as dimethylzinc, trimethylgallium, trimethylindium, trimethylbismuth, tetrakis(dimethylamido)hafnium or tetrakis(dimethylamido)zirconium, can also be used. Whatever reactive second functionalization species is selected, it preferably binds to the NO2 and stabilizes the NO2 on the surface of a nanotube. The invention is not limited to a particular reactive second functionalization species, and instead requires only that the result of a reaction of the selected species with, e.g., NO2 or other selected first functionalization species, not strongly perturb the electronic or chemical state of the nanotube and provide nucleation sites for deposition of a material layer on the nanotube surface.
[0062] In one example and well-suited process provided by the invention, a cyclic ALD technique can be employed to introduce vapor NO2 to a nanotube
surface and then to expose the adsorbed NO2 molecules to vapor A1(CH3)3, such that the A1(CH3)3 reacts with the NO2 molecules. The reaction of the A1(CH3)3 with the NO2 produces a functionalization layer that is non-covalently bonded to the underlying carbon nanotube and that is a stable complex which does not tend to immediately desorb from the nanotube sidewall at a reasonably low temperature, such as room temperature, 250C. The resulting functionalized surface provides functional groups that are amenable to uniform, conformal coating of the nanotube by a selected additional material or materials.
[0063] A plausible reaction mechanism for adsorbed NO2 with TMA is schematically illustrated in Figure 2. Here, the nitrogen end of NO2 is attracted to the nanotube surface, leaving the oxygen ends exposed to incoming TMA vapor. This is the most stable configuration for adsorbed NO2 molecules on the nanotube surface. The aluminum centers of TMA are in turn attracted to oxygen, leaving the methyl groups as the surface functional groups that are available for reaction with deposition precursors and amenable to deposition conditions. As explained below, this configuration results in self-terminating behavior under a range or processing conditions, preventing further attachment of either NO2 or TMA.
[0064] In one example NO2-TMA functionalization process in accordance with the invention, a cyclic ALD technique is employed at a temperature that generally minimizes a tendency of molecular desorption, e.g., about room temperature, 250C. Throughout the entire functionalization process, a selected flow, e.g., about 50 seem, of a selected inert gas, e.g., argon gas, is directed through an ALD reactor. An example of such is a cylindrical reactor having an inner diameter of 3.4 cm. The inert gas flow is controlled, e.g., by a vacuum pump with a pumping speed such that the chamber pressure is maintained at, e.g., about 300 mTorr. One example NO2-TMA functionalization cycle consists of a first cycle step providing a dose of, e.g., about 30 mL, of NO2 gas at a pressure of, e.g., about 960 Torr. After this NO2 dose there is carried out an NO2 purge, e.g., a 7 s purge with argon. Then a second cycle step is carried out
with a dose of, e.g., about 6 mL, of TMA vapor at a pressure of, e.g., about 10 Torr. This TMA dose is then followed by a 2 min purge with argon. This final purge ends a NO2-TMA cycle. As explained below, the number of such cycles to be repeated is determined based on the completeness of coverage provided by a selected functionalization layer. As will be recognized, the dose size, pressure, and other process parameters are dependent on reactor size and therefore are to be adjusted accordingly for a given reactor and other process conditions.
[0065] With this example ALD functionalization process, the residence time, or life time, of the adsorbed NO2 species resulting from the 30 mL dose of NO2 gas is sufficient for the adsorbed NO2 species to remain on the nanotube surface until the TMA vapor is introduced for reaction with the adsorbed NO2 species. The example process temperature of room temperature is one process parameter that can be easily controlled to impose this condition. For many applications, a process temperature of about room temperature, 25°C, can be preferred, but the invention is not limited to room temperature processing. The processing temperature is preferably less than about 200°C and can be lower than room temperature if such is suitable for a given application.
[0066] For many applications, a functionalization layer of one monolayer or at most a few monolayers is all that is required to provide the functional groups necessary for nucleation of a selected material to be deposited subsequently on the functionalization layer surrounding a nanotube. Relatively thick functionalization layers may be porous and not optimally uniform or conformal. In addition, for many applications it can be preferred to limit the amount of material applied to a nanotube. It can therefore be preferred to limit the thickness of a functionalization layer, either through active control or by selection of a process that is characterized by self- limiting behavior.
[0067] The example NO2-TMA functionalization process described above is characterized by such self-limiting behavior, limiting a resulting
functionalization layer thickness to one monolayer, which is less than about 1 nm in thickness. The self-limiting nature of this reaction can be preserved by limiting the number of functionalization ALD cycles to less than 100 cycles, so that only a controlled, self- limited monolayer of material forms. If the dose number is too large or exposure too great, the NO2-TMA process can produce a thicker amorphous multilayer that is not self- limiting, as described in detail below. Such a multilayer is not a true ALD process and cannot be guaranteed to provide the conformality and uniformity required by the invention. For many applications, less than 100 cycles can be preferred, or more preferably, less than 50 cycles. The number of cycles to be employed should be sufficient to fully or at least nearly completely cover a nanotube surface. For most applications, preferably more than 10 cycles, and more preferably, more than 25 cycles are sufficient for nearly complete coverage.
[0068] In general, once a nanotube surface has been exposed to the example NO2-TMA ALD process described above or other selected process, a functionalization layer exists on the nanotube surface and deposition of a selected material around the nanotube can proceed. But although the adsorbed NO2-TMA complex is more stable than adsorbed NO2 by itself, because the NO2-TMA complex is non-covalently attached to the nanotube, the complex will tend to desorb from the nanotube surface given a sufficient amount of time and a sufficiently high temperature.
[0069] For conditions under which a selected material cannot be deposited prior to the onset of such functionalization layer desorption, an intermediate stabilization layer can be applied in accordance with the invention to encase the functionalization layer and ensure stability of the functionalization layer even at elevated temperatures and extended durations. The stabilized functionalization layer provides functionalized nucleation sites such that deposition of a selected material layer can then be carried out on the nanotube. Following the functionalization and stabilization steps, the
structure can be heated to higher temperatures at which the initial functionalization layer may have desorbed without the stabilization process.
[0070] The stabilization process preferably is conducted at a temperature that does not cause enhanced desorption of the underlying functionalization layer. For many applications, a temperature around room temperature, 25°C, can be a preferable stabilization process temperature; a temperature below about 2000C can be preferred, with temperatures below about 1000C more preferable. The stabilization layer preferably just covers the underlying functionalization layer and therefore need be no more than one or just a few monolayers in thickness. The stabilization layer holds the functionalization layer in place and preferably forms strong chemical bonds, such as covalent bonds, with the functionalization layer, although such strong chemical bonds are not essential to the invention.
[0071] In one example technique, a selected precursor is introduced to a functionalization layer-coated nanotube for reaction with the layer. The criteria to be met include a requirement that the deposition precursor or precursors for the stabilization layer be reactive with the species of the functionalization layer, e.g., with NO2-TMA functionalization layer species, and be sufficiently volatile at the temperature required to maintain the functionalization layer, e.g., about 25°C, for successful delivery of their vapors to the functionalization layer surface. Precursors that meet these criteria include dimethylamides of various metals, such as hafnium and zirconium, and methyl derivatives of other metals, such as aluminum, zinc, gallium and bismuth. Reaction of such precursors, including trimethylaluminum, dimethylzinc, trimethylgallium, trimethylindium, trimethylbismuth, tetrakis(dimethylamido)hafnium or tetrakis(dimethylamido)zirconium with water vapor can be carried out to form a stabilization layer. It is understood that a NO2-TMA functionalization layer can be exposed to a temperature around 50°C and for most conditions still be characterized by a reasonably
small desorption rate; at this higher temperature an expanded class of stabilization layer precursors can be employed.
[0072] In one example and convenient process, a layer of AI2O3 can be deposited by ALD to form a monolayer-thick stabilization layer over a functionalization layer. Where the functionalization layer is formed by an ALD process, an ALD stabilization process can be particularly convenient. In an example of such a process, a selected flow, e.g., about 50 seem, of a selected inert gas, e.g., argon gas, is directed through an ALD reactor. An example of such is a cylindrical reactor having an inner diameter of 3.4 cm. The inert gas flow is controlled, e.g., by a vacuum pump with a pumping speed such that the chamber pressure is maintained at, e.g., about 300 mTorr. The temperature is maintained at about room temperature, 250C. The first step in one stabilization cycle consists of a dose of, e.g., about 6 mL of TMA, at a pressure of, e.g., about 10 Torr, followed by a 2 min purge with argon. Then in a second process step, water vapor at a pressure of, e.g., about 24 Torr, is pulsed for 0.2 sec. The chamber is then purged with argon for, e.g., about 2 min. This completes one ALD cycle in the formation of a stabilization layer.
[0073] It is found that for an NO2-TMA functionalization layer, five
AI2O3 ALD cycles with the process conditions given just above provide an adequate stabilization layer, and thus a process including at least five cycles can be preferred. The thickness of an AI2O3 stabilization layer produced by the five ALD cycles is found to be sufficient to keep NO2-TMA complexes held in place on a nanotube for high temperature processing, and yet is thin enough to minimize any adverse effects that the stabilization layer could have on electrical capacitance.
[0074] Thus, in accordance with the invention, in one example of a particularly preferable process, 25-50 ALD cycles of functionalization by NO2- TMA, followed by at least 5 ALD cycles of stabilization by AI2O3, all at about 25°C, sufficiently functionalizes and stabilizes a nanotube surface for deposition by a selected process, e.g., by conventional ALD. Most nanotubes,
and in particular SWNTs, treated with this process of the invention exhibit an ideal coating that is uniform and smooth, conformal and continuous along the entire length of the nanotube.
[0075] It is found that without a stabilization layer, a functionalization layer can be radially isotropic but may not in all cases remain continuous along the nanotube length, e.g., producing uncoated regions due to desorption. A similar undesirable condition is found to exist if water vapor alone is employed as a stabilization precursor in an effort to cross-link NO2-TMA complexes in an underlying function layer. A nonuniform functionalization layer is also found to result if the functionalization procedure is carried out at conventional ALD temperatures, which are generally 200°C-400°C. Here, the thermal kinetic energy gained by NO2 molecules causes a decrease in adsorption onto a nanotube surface, inhibiting functionalization. It is therefore preferred in accordance with the invention that low temperature ALD techniques be employed for the functionalization and stabilization processes.
[0076] Once the functionalization and stabilization steps of the invention have been completed, the carbon nanotube can be coated with a selected material by any of a wide range of physical, chemical, vapor, and solution- based deposition processes. Where the deposition process is itself a vapor process, e.g., a CVD process or an ALD process, SWNTs or MWNTs can be grown, their surfaces functionalized and stabilized in accordance with the invention, and their surfaces coated with ALD or CVD material, all in the same reactor. The nanotubes can therefore be kept in a controlled vacuum environment throughout the entire process sequence. This eliminates all sources of contamination and ensures that the selected precursors are indeed directly responsible for each step. The ability to execute every process step in one reactor also illustrates the ease with which the functionalization technique of the invention can be integrated into a fabrication process sequence.
[0077] It is understood that the conditions for producing a selected layer of stabilization material may not be ideal for producing a layer of that same
material as-intended for another purpose. But for many applications, the stabilization layer can be formed of a material that is also to be subsequently deposited in the formation of a selected device. It can therefore be preferred for such a scenario to first form a stabilization layer of the minimum thickness required for stabilizing the functionalization layer, and then to adjust the deposition conditions to form an intended deposited material. For example, as described in detail below, where AI2O3 is to be employed as a stabilization material and as a device layer in an intended device configuration, then a low- temperature AI2O3 deposition step can be conducted, followed by an AI2O3 deposition at temperature and pressure settings that optimize the AI2O3 layer for the intended application.
[0078] The invention contemplates a wide range of devices and structures that can be produced with carbon nanotubes having surfaces that are functionalized in accordance with the invention. A wide range of materials can here be employed. For example, a functionalized carbon nanotube can be coaxially coated with a selected dielectric layer and then a selected electrically conducting layer, to form a gate structure that can be configured between source and drain regions in the formation of a carbon nanotube-based transistor. [0079] One particularly important application addressed by the methods of the invention is ALD of a high-κ dielectric coating, having a dielectric constant greater than, e.g., about 7, on a SWNT. Formation of a high-κ dielectric layer by ALD can produce a benign dielectric/SWNT interface that does not adversely affect the electrical properties of the nanotube. ALD is thus an ideal deposition technique for nondestructively passivating SWNTs with very thin, high-κ materials. Coaxial coating is particularly desirable because its realization in accordance with the invention makes coaxially- gated SWNT devices and nanotube-based wires and other circuit elements feasible, as described below. This configuration maximizes the capacitive coupling of the nanotube with a gate layer, which is a crucial step towards optimizing device
characteristics. Furthermore, a suspended SWNT geometry may be necessary to satisfy scalability requirements for nanoscale SWNT devices. Such a structure necessitates coaxial insulation.
[0080] It is discovered in accordance with the invention that for such a coaxially-insulated and coaxially-gated SWNT configuration, the functionalization and stabilization layers can under certain conditions effect the capacitive response of such a configuration to applied voltages. Beyond SWNT devices, for many nanoscale microelectronic applications, it can be preferable to conduct electrical analysis of a structure including the functionalization and stabilization layers to determine if non-ideal capacitive properties are produced by the functionalization layer. This can be especially preferred for electronic applications, but is not in general necessary for other non- electronic applications.
[0081] In accordance with the invention, if required, there can be carried out a post-functionalization anneal process in accordance with the invention to reduce or eliminate non-ideal capacitive properties of a functionalized structure. The annealing process can be conducted in a conventional furnace that is thermally ramped, in a rapid thermal anneal (RTA) chamber, or other suitable heating system. The anneal process is preferably carried out at a temperature that is between about 300°C and about 7000C. For many applications, an anneal temperature that is between about 500°C and about 600°C can be preferred to substantially completely eliminate unwanted capacitive characteristics. At anneal temperatures above about 700°C, degradation of capacitive characteristics can occur. The optimum anneal temperature can be specific to a selected structure geometry, material composition, and functionalization configuration, depending on the properties of the structures and the nature of the functionalization of the structures.
[0082] In accordance with the invention, the anneal temperature, time, and ramp speed are selected specifically to remove energy levels that exist in the functionalization and stabilization layers and that function as electrical
charge traps. These electrical charge traps can degrade electronic device performance. Therefore, depending on the selected anneal system and anneal temperature, the duration of the anneal is preferably that duration which is sufficient to reduce the charge traps of the functionalization and stabilization layers to an acceptable level for a given application. An anneal time is therefore set preferably based on the particular annealing technique employed, and can range from, e.g., between about 1 minute and about 5 hours, and more preferably between about 2 minutes and about 2 hours. It is to be recognized that given a particular selected anneal system and anneal temperature, some empirical analysis can be required to ascertain an optimal anneal duration.
[0083] Preferably, the anneal process is not carried out in a reactive gas environment and there is no function for a reactive gas in the anneal process. The anneal therefore can be conducted in vacuum or an inert gas, such as Ar, that is compatible with the materials present on the structure to be annealed. The anneal process of the invention can be conducted immediately following formation of functionalization and stabilization layers, or can be carried out at a later point in a fabrication process sequence, after additional material layers are deposited on a structure. For many applications, it can be preferred to conduct the anneal prior to metal deposition on a functionalized structure and to minimize the anneal temperature to preserve the thermal budget of a fabrication sequence.
[0084] The surface functionalization processes and the annealing processes of the invention can be applied to any structure having carbon surfaces, and therefore are not limited to single-walled carbon nanotube processing. Any structure having carbon surfaces, e.g., bulk graphite, such as graphite substrates or chips, graphene sheets or layers provided on a substrate or other structure, buckyballs, or other fullerene structures that exhibit inertness to ALD or CVD precursors or other deposition species, in the manner of carbon nanotubes, can be functionalized by the processes of the invention to overcome such inertness. Once functionalized, ALD or other deposition or
growth technique as described above can be employed to form one or more layers of materials on the carbon surface. These layers of materials can be provided for, e.g., passivation, electrical isolation, voltage biasing, chemical, mechanical, or environmental shielding, or other function related to an intended application for the structure.
[0085] For example, conventional precursors for ALD and CVD processes do not in general nucleate easily on the flat surfaces of graphite crystallites. Instead, nucleation takes place only at the edges of crystallites and at other defect sites, from which a coating can grow and eventually extend to cover completely the graphite. But if it is desired to completely cover a graphite surface with a thinner and more uniform layer of material, then the functionalization and stabilization processes of the present invention can be employed to form very thin functionalization and stabilization layers, onto which additional material can be added, for example by ALD and/or CVD. [0086] Graphite is a planar carbon-based structure in the family of carbon-based structures that also includes the planar structure graphene and non-planar fullerenes such as carbon nanotubes that are processed in accordance with the invention with functionalization and stabilization layers to enable deposition of material layers on the carbon structure surface. The term "fullerene structure" is herein meant to refer to any material composed mainly of ordered hexagonal groups of carbon atoms or hexagonal and pentagonal groups of carbon atoms that is shaped in a non-planar geometry. Graphene is an example of a planar, single layer of hexagonal carbon atom groups. Bulk graphite is a planar structure formed of multiple layers of graphene. Non-planar fullerene structure arrangements and layers of carbon- based materials can also be subjected to the functionalization, stabilization, and anneal processes of the invention. In general, any three-dimensional fullerene or carbon-based structure, whether planar, coaxial, spherical, as in a buckeyball (Ceo) configuration, or other configuration, can be functionalized, stabilized, and annealed in accordance with the invention to enable formation of material layers on the fullerene surface. Thus, bulk graphite, having a
surface that is chemically similar to that of a carbon nanotube fullerene structure, can be processed in a similar manner in accordance with the invention.
[0087] In general, a carbon-based structure to be functionalized is first prepared in a geometry that is amenable for functionalization and an intended application. Graphite substrates or graphite sheets can be obtained commercially and provided in a suitable configuration. Fullerene structures can be synthesized in situ as described above or provided at an intended location after synthesis. [0088] Graphene can be provided on a substrate or other structure by any suitable method. In one conventional technique, in a first step, commercially-available highly- oriented pyrolytic graphite or graphite flakes are attached to plastic sticky tape, with the tape folded over the graphite. The tape is then peeled apart to cleave or exfoliate the graphite. This process is repeated a number of times, e.g., 10 times, on the increasingly thinner material. Bulk graphite or graphite flakes can also first be suitably attached to, e.g., wet photoresist, provided on a substrate; after baking the resist, the graphite is attached to the resist and using sticky plastic tape, flakes of graphite can be repeatedly peeled from the bulk. [0089] Once flakes are produced, the flakes are applied to an intended substrate, e.g., an oxidized silicon wafer. Graphitic films that are thinner than about 50 nm are transparent to visible light but nevertheless can easily be seen on an oxide surface through a microscope because of the added optical path that shifts the interference colors. At a thickness of less than about 1.5 nm, corresponding to the thickness of graphene, no color is visible even via the interference shift. This provides a natural marker for distinguishing between multi-layer graphite and graphene; regions of graphene appear as highly- transparent crystalline shapes having little color compared with the rest of the oxide layer.
[0090] Graphene multilayers can also be grown epitaxially on single crystal silicon carbide substrates. For example, in ultra-high vacuum, 4H- and 6H-SiC crystals can be heated to a temperature of about 1300°C to cause graphene or graphitic films to grow on both the silicon-terminated and carbon- terminated faces of the crystals. More moderate vacuum conditions for the growth can be employed with controlled background gas. Growth on the Si face is slow and terminates after relatively short times at high temperatures, but growth on the carbon face does not self- limit such that a number of ranging between 1-100 can be produced. The layers can then be employed as-grown or can be exfoliated as-described above to produce graphite layers or graphene. The invention is not limited to a particular technique for producing graphite or graphene and contemplates the use of advanced techniques in the synthesis of graphene that are not now available.
[0091] With a selected graphite, graphene, or fullerene structure synthesized and suitably configured at a selected structure, the functionalization and stabilization processes of the invention are carried out to provide functional groups, and specifically — CH3 methyl groups, at the carbon surface for enabling nucleation by deposition species such as ALD precursors.
[0092] In one example NO2-TMA functionalization process in accordance with the invention, the cyclic ALD technique described above is employed at a temperature that generally minimizes a tendency of molecular desorption from the carbon-based surface, e.g., about room temperature, 250C. Throughout the entire functionalization process, a selected flow, e.g., about 50 seem, of a selected inert gas, e.g., argon gas, is directed through an ALD reactor. An example of such is a cylindrical reactor having an inner diameter of 3.4 cm.
The inert gas flow is controlled, e.g., by a vacuum pump with a pumping speed such that the chamber pressure is maintained at, e.g., about 300 mTorr. One example NO2-TMA functionalization cycle consists of a first cycle step providing a dose of, e.g., about 30 mL, of NO2 gas at a pressure of, e.g., about 960 Torr. After this NO2 dose there is carried out an NO2 purge, e.g., a 7 s
purge with argon. Then a second cycle step is carried out with a dose of, e.g., about 6 mL, of TMA vapor at a pressure of, e.g., about 10 Torr. This TMA dose is then followed by a 2 min purge with argon. This final purge ends a NO2- TMA cycle. [0093] The reaction mechanism for adsorbed NO2 with TMA at a graphite, graphene, or fullerene structure surface is the same as that shown for a carbon nanotube surface in Fig. 2. Here, the nitrogen end of NO2 is attracted to the graphene, graphite, or other planar or fullerene surface, leaving the oxygen ends exposed to incoming TMA vapor. This is the most stable configuration for adsorbed NO2 molecules on the carbon surface. The aluminum centers of TMA are in turn attracted to oxygen, leaving the methyl groups as the surface functional groups that are available for reaction with deposition precursors and amenable to deposition conditions. This configuration results in self-terminating behavior described above, preventing further attachment of either NO2 or TMA.
[0094] With the functionalization layer so-formed on a carbon surface, the stabilization layer is then formed on the functionalization layer in the manner described above. In one example stabilization technique, a selected precursor is introduced to a functionalization layer-coated carbon-based structure for reaction with the layer. The criteria to be met include a requirement that the deposition precursor or precursors for the stabilization layer be reactive with the species of the functionalization layer, e.g., with NO2- TMA functionalization layer species, and be sufficiently volatile at the temperature required to maintain the functionalization layer, e.g., about 25°C, for successful delivery of their vapors to the functionalization layer surface. Precursors that meet these criteria include dimethylamides of various metals, such as hafnium and zirconium, and methyl derivatives of other metals, such as aluminum, zinc, gallium and bismuth. Reaction of such precursors, including trimethylaluminum, dimethylzinc, trimethylgallium, trimethylindium, trimethylbismuth, tetrakis(dimethylamido)hafnium or
tetrakis(dimethylamido)zirconium with water vapor can be carried out to form a stabilization layer. It is understood that a NO2-TMA functionalization layer can be exposed to a temperature around 50°C and for most conditions still be characterized by a reasonably small desorption rate; at this higher temperature an expanded class of stabilization layer precursors can be employed.
[0095] In one example and convenient process, a layer of AI2O3 can be deposited by ALD to form a monolayer-thick stabilization layer over a functionalization layer. Where the functionalization layer is formed by an ALD process, an ALD stabilization process can be particularly convenient. In an example of such a process, a selected flow, e.g., about 50 seem, of a selected inert gas, e.g., argon gas, is directed through an ALD reactor. An example of such is a cylindrical reactor having an inner diameter of 3.4 cm. The inert gas flow is controlled, e.g., by a vacuum pump with a pumping speed such that the chamber pressure is maintained at, e.g., about 300 mTorr. The temperature is maintained at about room temperature, 25°C. The first step in one stabilization cycle consists of a dose of, e.g., about 6 mL of TMA, at a pressure of, e.g., about 10 Torr, followed by a 2 min purge with argon. Then in a second process step, water vapor at a pressure of, e.g., about 24 Torr, is pulsed for 0.2 sec. The chamber is then purged with argon for, e.g., about 2 min. This completes one ALD cycle in the formation of a stabilization layer. Thus, in accordance with the invention, in one example of a particularly preferable process, 25-50 ALD cycles of functionalization by NO2-TMA, followed by at least 5 ALD cycles of stabilization by AI2O3, all at about 25°C, sufficiently functionalizes and stabilizes a carbon-based structure surface such as a graphite surface or a graphene surface, for deposition by a selected process, e.g., by conventional ALD, of materials on the graphite or graphene.
[0096] For a given microelectronic application of a functionalized graphite, graphene structure or fullerene structure, the annealing processes of the invention can be employed, if necessary, to reduce or eliminate non-ideal
capacitive characteristics of the structure that result from the functionalization. The annealing process conditions given above can be employed here, with an inert gas flowing during the annealing process. The anneal process is preferably carried out at a temperature that is between about 300°C and about 700°C. For many applications, an anneal temperature that is between about 5000C and about 6000C can be preferred to substantially completely eliminate unwanted capacitive characteristics. An anneal time is set preferably based on the particular annealing technique employed, and can range from, e.g., between about 1 minute and about 5 hours, and more preferably between about 2 minutes and about 2 hours. It is to be recognized that the anneal temperature and duration can differ between various carbon- based materials, structures, and configurations such as fullerene structures like carbon nanotubes, and planar or other three-dimensional configurations,
[0097] The inventors herein have made the discovery that functionalization and stabilization layers produced in accordance with the invention can provide support for coatings and layers on structures that are not carbon-based materials, including, e.g., silicon, silicon dioxide, silicon nitride, glasses, and metals, and other microelectronic materials that are chemically different from the carbon-based family of graphite, graphene, and fullerene structures described above. The functionalization and stabilization layers of the invention can be formed on insulating, semiconducting, or conducting structures. The microelectronic structures can be planar, and further can be coaxial, spherical, or other selected configuration. Microelectronic wafers and substrates or pieces of substrates, chips, or other structures can be processed with the functionalization and stabilization processes of the invention.
[0098] In general, any structure for which a surface to accommodate material deposition is needed can be functionalized, if the surface of the structure is compatible with the functionalization chemistry. As explained above, in one of a particularly preferable process, 25-50 ALD cycles of
functionalization by NO2-TMA, followed by at least 5 ALD cycles of stabilization by AI2O3, all at about 25°C, sufficiently functionalizes and stabilizes a structure surface such as a silicon wafer or other structure, for deposition by a selected process, e.g., by conventional ALD, of materials on the structure.
[0099] For a given microelectronic application of a functionalized microelectronic wafer or other structure, the annealing processes of the invention described can be employed, if necessary, to reduce or eliminate non- ideal capacitive characteristics of the structure that result from the funtionalization. The anneal process is preferably carried out in with flow of an inert gas, at a temperature that is between about 300°C and about 700°C. For many applications, an anneal temperature that is between about 500°C and about 600°C can be preferred to substantially completely eliminate unwanted capacitive characteristics. An anneal time is set preferably based on the particular annealing technique employed, and can range from, e.g., between about 1 minute and about 5 hours, and more preferably between about 2 minutes and about 2 hours.
Example I NO2 Adsorption and Desorption From a Carbon Nanotube [00100] The electrical conductance of SWNTs was experimentally analyzed during the functionalization procedure of the invention. To produce structures for analysis, optical lithography and electron beam evaporation techniques were employed to pattern metal electrodes of 50 nm-thick Mo and 50 nm-thick Ti layers on quartz substrates. A focused ion beam (FIB) was employed to form trenches of 1 μm in width and 0.5 μm in depth between the edges of the metal electrodes. A layer of approximately 0.5 nm in thickness of AI2O3 was deposited by ALD on the electrode surfaces to prevent alloying between the molybdenum and the nanotube catalyst metal subsequently deposited. A catalyst region of Co of about 0.3 nm in thickness was deposited
and patterned by liftoff on the electrode surfaces to form isolated catalyst regions.
[00101] SWNT synthesis was carried out at atmospheric pressure by bubbling argon at a flow rate of about 450 seem through ethanol at room temperature, 25°C, and flowing the mixture over the quartz substrate structures at a temperature between about 7500C and about 900°C in an Inconel® tube having a 3.4 cm inner diameter and a 860 mL volume. Growth times were varied between 30 s and 5 min. The longer growth times produced longer tubes. [00102] For electrical conductance studies, a 30 s growth time was used, to avoid surface bridging of the electrodes by nanotubes. This was not desirable given that the conductance properties of suspended nanotubes were being studied. Growing for a relatively short time ensured that the tubes bridging the electrodes were suspended over the trench between the electrodes. During the synthesis, SWNTs became electrically accessible by growing over the trench between the electrodes. Semiconducting SWNTs grown in this way exhibit p-type behavior.
[00103] To analyze the adsorption of NO2 on a nanotube surface, approximately 30 mL doses of NO2 at a pressure of about 960 Torr were pulsed over the SWNTs suspended across the trenches, between the electrodes, under steady state conditions in vacuum conditions of about 300 mTorr and a temperature of about 25°C. Between each pulse, the NO2 was purged with a continuous flow of argon of about 50 seem for 2 min.
[00104] Fig. 3A is a plot of the measured conductance of one of the suspended SWNTs as a function of time as the NO2 pulsed dosing was carried out. It was found that the measured conductance of the SWNTs increased upon NO2 exposure and was followed by recovery to the initial baseline conductance during the purge. This is expected because in semiconducting SWNTs, adsorption of the NO2 slightly enhances the p-type character of the nanotube through partial charge transfer of electrons from the tube to the
adsorbed NO2 molecules. Thus, during the NO2 dosing, the conductance increased. Then, when the NO2 was purged, the adsorbed NO2 began to desorb, reducing the p-type character of the nanotube and correspondingly reducing the conductance of the nanotube. This conductance analysis confirms that the NO2 species is only physisorbed to the nanotube surface and is not chemically bonded to the surface.
Example II TMA Stabilization of Adsorbed NO2
[00105] Suspended SWNTs were fabricated in the configuration of Example I and exposed to pulsed dosing of TMA. Here approximately 6 mL doses of TMA at a pressure of about 10 Torr were pulsed over suspended SWNTs under the same conditions that were used in the NO2 pulsing of Example I. During the pulsed dosing the conductance of the SWNTs was measured. [00106] Fig. 3B is a plot of measured conductance of a SWNT as a function of time during pulsed TMA dosing. TMA exposure has an effect on the conductive properties of SWNTs that is the opposite of that due to NO2 exposure, and thus causes a decrease in SWNT conductance. The measured SWNT conductance following a TMA pulse exposure is seen in the plot to recover slightly due to desorption after each pulse, but it does not return to a constant baseline value as it did with NO2 exposure. This results in an overall negative slope in the conductance/time profile, which may be due to an increase in contact resistance at the Mo electrodes caused by the reaction of TMA with surface hydroxyls. [00107] The NO2 dosing experiment of Example I was combined with the TMA pulsed dosing, so that each NO2 pulse was followed by a TMA pulse. The conductance of a SWNT was measured during the dosing pulse sequence. Fig. 3 C is a plot of the measured conductance during the pulsed dosing, and Fig. 3D is a plot of conductance for one pulse cycle of the sequence. Here it is found that each conductance increase caused by NO2 is offset by a conductance
decrease caused by the TMA. Recall that when NO2 was dosed by itself, the conductance returned to a baseline value, and the average slope was zero. This was not the case when NO2 dosing was followed by TMA exposure. Here the current rises stepwise with every NO2-TMA cycle, and there is a net positive slope.
[00108] If NO2 could freely desorb from the SWNT surface, one would expect the slope of Fig. 3C to be zero as in the plot of Fig. 3A, or even negative because of the presence of TMA. The positive net slope produced by the NO2- TMA pulsed treatment therefore indicates that TMA reacts with NO2 to effectively inhibit desorption of the NO2, resulting in a more stable complex on the SWNT surface.
Example III Functionalization Layer Thickness Control by ALD Cycle Number
[00109] SWNTs were synthesized as in Example I. The SWNTs were subjected to various NO2-TMA functionalization processes, with the number of NO2-TMA functionalization cycles adjusted to elucidate the nature of the resulting functionalization layer. For each process, a vacuum pressure of about 300 mTorr, under the continuous flow of argon at a flow rate of about 50 seem, at a temperature of about 25°C, was employed. One functionalization cycle consisted of a 30 mL NO2 vapor dose at about 960 Torr followed by a 2 min purge with argon, then a 6 mL TMA vapor dose at about 10 Torr, followed by a 2 min purge. Fig. 4A is a plot of the thickness of the functionalization layer as a function of the number of NO2-TMA cycles.
[00110] As shown in the plot, the thickness of the functionalization layer remained constant at about one monolayer for up to about 100 NO2-TMA cycles. This preserved functionalization layer thickness within the first 100 NO2-TMA cycles confirms that the NO2-TMA technique forms a self- terminating monolayer on the SWNT surface. When more than 100 NO2-TMA cycles were carried out, the functionalization layer increased in thickness. Imperfections in the methyl surface eventually led to addition of more material
beyond the monolayer, which begins around 200 cycles, and is prevalent by 400 cycles. This breakdown of the self- limiting behavior is found to cause a porous, nonuniform multi-layer to form on the SWNT surface. Because fine control of coating thickness and control of coating uniformity is a desired goal for many applications, it therefore can be preferred to use less than 100 functionalization cycles.
[00111] Inertness of the surface methyl groups to chemical reaction with NO2 may be the reason that the functionalization layer is self- limited at a monolayer. Only when both water and TMA are added does a stabilization layer grow around the functionalization layer. Water molecules are likely to cleave the methyl groups from their aluminum centers, leaving hydroxyl groups (-OH) in their place and methane (CH4) as a gaseous byproduct. This newly hydroxylated surface is in turn susceptible to ALD reactions through similar cleavage mechanisms. Example IV
Functionalization Layer Thickness Control by NO2 Exposure
[00112] SWNTs were synthesized as in Example I. The SWNTs were then subjected to the functionalization process of Example III with the duration of the NO2 dosing step in each NO2-TMA functionalization cycles adjusted to elucidate the nature of the functionalization layer. Fig. 4B is a plot of functionalization layer thickness as a function of NO2 dosing.
[00113] It is here shown that the thickness of the functionalization layer is directly proportional to the NO2 exposure, i.e., the thickness depends on the number of collisions that NO2 gas molecules can make with the functionalization layer before they are purged. More collisions increase the chance of NO2 molecules finding and adsorbing onto imperfections in the layer. NO2 adsorbed in this way can then act as an adsorption site for incoming TMA. As this happens over many cycles, a multi-layer with appreciable thickness can be produced. Based on this evidence, it can be preferred to optimize the functionalization procedure of the invention by using low exposure, flow-
through style dosing, and a relatively low number of cycles, e.g., less than 100, as explained above.
Example V AI2O3 Deposition on Functionalized SWNTs [00114] A 200 nm-thick silicon nitride membrane was fabricated and FIB milling was employed to form a through-hole extending through the membrane. Co nanotube catalyst regions were formed by ALD of a Co layer on the nitride membrane surface and lift-off patterning of the layer, and then the SWNT growth of Example I was carried out with a 5 min growth time. This was sufficient to grow a relatively high yield of tubes that were long enough to completely traverse the patterned FIB holes in the membrane. During the growth, SWNTs grew across the holes, making their suspended sections ideal for TEM analysis.
[00115] The suspended SWNTs were functionalized in accordance with the invention by exposure to 50 NO2-TMA ALD functionalization cycles in a vacuum pressure of about 300 mTorr, under the continuous flow of argon at a flow rate of about 50 seem at a temperature of about 25°C. One cycle consisted of a 30 mL NO2 vapor dose at about 960 Torr followed by a 2 min purge with argon, then a 6 mL TMA vapor dose at about 10 Torr, followed by a 2 min purge.
[00116] After the 50 functionalization cycles were complete, 5 cycles of a stabilization process were carried out. Here the stabilization layer was provided as high-κ AI2O3 deposited by ALD at 25°C. One ALD AI2O3 stabilization cycle consisted of a 6 mL does of TMA at 10 Torr, followed by a 2 min purge with argon, then water vapor at about 24 Torr pulsed for 0.2 s in a vacuum at about 300 mTorr, followed by a 5 minute purge with argon. A layer of about 0.5 nm in thickness was formed.
[00117] The temperature was then increased to 225°C for additional AI2O3 deposition on the functionalization and stabilization layers. This AI2O3 deposition was carried by alternating doses of TMA vapor, at a flow of about 6
mL and a pressure of about 10 Torr, and water vapor, at a pressure of about 24 Torr, with the valve open for about 0.2 s. Between doses, 30 to 60 second purges were carried out. The base pressure during deposition was 300 mTorr, and there was a constant flow of argon of about 50 seem through the reactor during this process.
[00118] Each ALD cycle of AI2O3 was found to have added about 0.1 nm to the radius of the coating on the SWNT. 20 ALD cycles gave a coating with 2 nm radial thickness. 100 ALD cycles gave a coating with a radial thickness of 10 nm. The resulting ALD coating around the SWNTs was found to be uniform and continuous. This experimentally verifies that deposition of a selected insulating material on a stabilized functionalization layer exhibits little if any inhibition or delay in nucleation of the ALD growth.
Example VI Prevention Against Schottky Barrier Modulation [00119] SWNTs were synthesized as in Example I. The SWNTs were then exposed to pulsed NO2 doses. Figure 5A is a plot of the measured conductance of a SWNT as a function of time as one NO2 dose pulse was applied to the bare SWNT. The exposure caused a conductance increase of ~105 A/V, followed by a decrease due to desorption, as explained in Example I. [00120] After a 12 hour purge, the SWNT was coated with 10 nm of ALD AI2O3. One ALD AI2O3 deposition cycle consisted of a 6 mL does of TMA at 10 Torr, followed by a 2 min purge with argon, then water vapor at about 24 Torr pulsed for 0.2 s in a vacuum at about 300 mTorr, followed by a 5 minute purge with argon. The process was carried at room temperature. This ALD deposition did not coat the SWNTs, given the inert nature of the bare SWNT surface to ALD deposition, but did coat the Mo/SWNT junctions, passivating the Schottky barriers at the point between each end of a SWNT and the electrical contact on the surface. Without a functionalization layer, the SWNTs were effectively self-masked from deposition of the ALD coating.
[00121] This experiment isolated SWNT conduction changes caused by charge-transfer doping of the nanotubes from conduction changes caused by modulation of the Schottky barrier at the contacts between the SWNTs and the Mo electrodes. Figure 5B is a plot of measured conductance as a function of time as NO2 dose pulses were applied to the SWNT after the Mo/SWNT junctions were passivated. The conductance increase is now only about 10 7 A/V, two orders of magnitude smaller than it was for SWNTs having exposed Schottky barriers. From this it can be concluded that most of the conductance change caused by NO2 occurs through modulation of the Schottky barriers. Accordingly, this further confirms that the NO2 layer does not significantly perturb the electrical properties of the nanotube.
[00122] Further illustration of this condition was experimentally observed during a NO2-TMA functionalization treatment. One suspended SWNT with exposed Schottky barriers and one suspended SWNT having Schottky barriers passivated by the AI2O3 deposition given above were both exposed to 50 NO2- TMA ALD functionalization cycles under the process conditions of Example III. Fig. 5C is a plot of conductance as a function of time during the 50 cycle functionalization process for each of the two SWNT conditions.
[00123] From the plotted data it is seen that the conductance of the SWNT having uncoated Mo/SWNT contacts changed significantly while the conductance of the SWNT having coated Schottky barriers was relatively constant. These results show that Schottky barrier modulation is the dominant mechanism causing the conductance increase observed during functionalization. This is reasonable considering that NO2 exposure is expected to alter metal work functions. Furthermore, this demonstrates that the functionalization technique of the invention can be used without dramatically changing the conductance of a nanotube if the Schottky barriers of the nanotube are adequately protected.
Example VII Fabrication of Core-Shell Nanotube Structures
[00124] Suspended SWNTs having a 10 nm-thick AI2O3 coating over functionalization and stabilization layers were fabricated as in Example VI. The Al2θ3-coated SWNTs were then coated with a selected metal layer. One particularly convenient metal deposition technique that can be employed in accordance with the invention is the ALD coating process described in U.S. Patent Application Publication No. US2006/0141155, published June 29, 2006, entitled, "Atomic Layer Deposition Using Metal Amidinates," by Gordon et al., the entirety of which is hereby incorporated by reference.
[00125] Metals including copper, cobalt, nickel, iron, ruthenium, manganese, chromium, vanadium, niobium, tantalum, titanium, lanthanum, rhodium, or other suitable metal layer can be formed by ALD techniques. For example, rhodium deposition by ALD can be carried out in accordance with the invention as described by Aaltonen et al., "ALD of Rhodium Thin Films from Rh(acac)3 and Oxygen," Electrochemical and Solid- State Letts., V. 8, N. 8, pp. C99-C101, 2005, the entirety of which is hereby incorporated by reference. Metal coating by evaporation, chemical vapor deposition, or other deposition technique can also be employed in accordance with the invention to deposit gold, silver, palladium, or another selected metal. Other suitable electrically conducting materials, such as tungsten nitride, can also be employed. One example technique in accordance with the invention for depositing an electrically conducting material such as tungsten nitride by ALD is described by Becker et al., in "Highly Conformal Thin Films of Tungsten Nitride Prepared by Atomic Layer Deposition from a Novel Precursor," Chem. Mater., N. 15, pp. 2969-2976, 2003, the entirety of which is hereby incorporated by reference.
[00126] Whatever deposition technique is employed, the metal deposition results in an insulator-metal core-shell structure around the SWNT. The functionalization process of the invention enables this core-shell structure to be formed with SWNTs, overcoming the inherent inertness of SWNTs to
conformal layer deposition. The functionalization process of the invention also enables this core- shell structure to be produced with ALD processes that can control the thickness of the shell structure at the nanometer scale and that can reliably and reproducibly provide conformal and uniform shell layers. Example VIII
Hydrophilic Nanotube Coating
[00127] A carbon nanotube functionalized in accordance with the invention as in the examples above is coated with a selected one or more materials to render the carbon nanotube hydrophilic and therefore amenable to suspension in a polar solvent such as water or alcohol. Suspensions of such hydrophilic nanotubes can then be employed, e.g., in medical applications. It is recognized that hydrophilic carbon nanotubes are less toxic than uncoated nanotubes and therefore are safer for medical applications. The nanotube hydrophilic conditions render the nanotube well-suited for a wide range of applications beyond medical applications in which it can be desirable to process nanotubes in a polar solvent such as water.
[00128] A hydrophilic layer such as a metal oxide or a silicon dioxide layer is deposited by a selected technique, e.g., ALD, on a functionalized carbon nanotube for rendering the nanotube hydrophilic. One example ALD technique in accordance with the invention for deposition of silicon dioxide or any in a range of metal oxides is described in U.S. No. 6,969,539, issued November 29, 2005, by Gordon et al., entitled "Vapor Deposition of Metal Oxides, Silicates and Phosphates, and Silicon Dioxide," the entirety of which is hereby incorporated by reference. Chemical vapor deposition (CVD), including low pressure chemical vapor deposition (LPCVD), plasma enhanced CVD
(PECVD), physical vapor deposition (PVD), or other selected technique can be employed to form the oxide layer. Additionally, a metal layer provided on a functionalization nanotube can itself be oxidized to form an oxide layer.
Example IX Fabrication of Coaxial Nanotubular Structures
[00129] Carbon nanotubes are synthesized and coated with functionalization and stabilization layers as in Example III. The coated carbon nanotubes are then coaxially coated with one more layers of material that preferably are oxidation resistant, e.g., with the AI2O3 material as in Example VI. The coated carbon nanotube structure is then separated from the surface or surfaces from which it was synthesized, to produce free carbon nanotubes and to expose the nanotube ends. In one example of such a technique, the nanotube structure and support arrangement is suspended in a selected liquid medium, e.g., alcohol, and ultrasonicated to break the ends of nanotubes from the support structure. This releases the coated nanotubes from the support structure and suspends the nanotubes in the medium. The liquid medium is then evaporated and the nanotubes are dried. [00130] The carbon nanotube itself is then removed from each coated nanotube structure by any suitable method, e.g., by oxidation. When heated in an oxidizing atmosphere, such as air or oxygen, to a sufficiently high temperature, such as over about 600°C, carbon nanotubes oxidize and can be completely annihilated by oxidation at, e.g., 700°C- 800°C for 2 hours. Annihilation of the carbon nanotube from the coating structure produces a hollow center surrounded by coaxial layers of the material that was deposited on the nanotube. As a result, a nanotubular structure having an inner diameter that corresponds to the diameter of the original carbon nanotube is formed. [00131] The original carbon nanotube thereby operates as a removable scaffold, and its removal produces precisely-controlled hollow nanotubes of the selected deposited material or materials. The uniform, conformal nature of material deposition that is enabled by the functionalization process of the invention allows for this hollow nanotube production process. By employing an ALD technique to form the coaxial material layers, the diameters of the resulting tubes to be produced by the deposited material can be set at any
desired value because growth per ALD cycle is highly reproducible. By changing reactants after a selected number of ALD cycles, coaxial core-shell structures can also be constructed. Any number of layer arrangements can be employed in formation of such a structure, providing, e.g., conducting, insulating, and semiconducting layer arrangements for use in electronic and sensing applications.
[00132] In one example, a hollow nanotubular structure thusly produced can be employed as a hollow gas sensing structure. It is recognized that the electrical resistance of semiconducting metal oxides, such as tin oxide and cobalt oxide, is sensitive to various gases. A metal oxide nanotubular structure therefore enables the production of a highly sensitive gas sensor.
[00133] For many applications, the hollow nanotubular structures must be placed between electrodes or other device elements for making electrical connection in a circuit or other system. Such an arrangement can be accomplished by, e.g., suspending the nanotubular structures in a solvent and spinning the solution onto a surface having electrodes provided thereon. Alternatively, lithography can be used to form electrodes at selected locations once the nanotubular structures are positioned on a selected surface.
[00134] Considering this arrangement for a hollow gas sensing structure, the nanotubular structure can be, e.g., tin oxide or cobalt oxide. The conductance of the nanotube can be monitored by flowing current through the nanotube. When the nanotubular gas sensor is exposed to gas, the energy levels in the nanotube are altered, causing a change in the nanotube conductance. A transistor configuration is not required for a gas sensing device as no gate is necessary.
[00135] A hollow nanotubular structure can also be employed as a nanowaveguide with appropriate core and cladding material selection. One example of such materials are described by Govyadinov et al., "Gain -Assisted Slow to Superluminal Group Velocity Manipulation in Nanowaveguides," Phys. Rev. Lett., V. 97, p, 223902++.
Example X Nanotube-based Dielectric Etch Mask
[00136] The formation of nanotubular structures enables a wide range of additional applications and processes. In one such example process, an etch mask is formed of nanotubular structures. A material that is substantially impervious, or at least highly resistant to, a particular etch species is layered on a functionalized carbon nanotube for producing an etch mask material. In this process, a carbon nanotube surface is first functionalized and stabilized as in Example III above. Then a selected etch masking material is deposited on the functionalized nanotube to form a uniform coaxial layer around the nanotube surface.
[00137] If desired for a given application, the carbon nanotube is then removed from the structure, following the techniques of Example IX above, to produce a nanotubular structure of the masking material. Alternatively, the carbon nanotube is retained in the nanotubular structure. A liquid suspension of masking material nanotubes is then produced by placing the tubes in a liquid medium such as water. The liquid suspension of masking material nanotubes forms a liquid nanotube resist that can be spin-coated onto a surface in the conventional manner. Once spin-coated on a surface, various etch process techniques can be carried out employing the nanotube resist layer as a masking layer. After completing the etching, the nanotube resist layer can be removed by a suitable technique, e.g., wet etching, dry etching, or chemo-mechanical polishing.
[00138] In one example of this nanotube masking process, nanotube coating materials are selected specifically to enable masked etching of a dielectric material on a substrate. Given a fluorine-based plasma recipe for dielectric etching, as is conventional, then a material such as SC2O3, Y2O3, LaAlθ3, MgO, GdScθ3, or other selected material can be employed as the nanotubular mask material to be coated on a nanotube. One suitable deposition process for SC2O3 coating in accordance with the invention is described in "ALD of Scandium Oxide from Scandium tris(N,N-
diisopropylacetamidinate) [Sc(amd)3] and Water," by Gordon et al., Electrochemical and Solid-State Letters, V. 9 N. 6, pp. F45-F48, 2006, the entirety of which is hereby incorporated by reference. U.S. Patent Application Publication No. 2006/0141155 cited above also describes suitable deposition techniques. One suitable deposition process for GdScOe coating in accordance with the invention is described by Kim et al., in "Atomic layer deposition of gadolinium scandate films with high dielectric constant and low leakage current," Appl. Phys. Lett., V. 89, pp. 133512-1 - 133512-3, 2006, the entirety of which is hereby incorporated by reference. One suitable deposition process for La2θ3 coating in accordance with the invention is described by Lim et al., in "Atomic layer deposition of lanthanum aluminum oxide nano-laminates for electrical applications," Appl. Phys. Lett., V. 84, N. 20, pp. 3957-3959, May 2004, the entirety of which is hereby incorporated by reference. Deposition of a layer of one of the selected materials having a thickness of, e.g., about 5 nm - 10 nm, is carried out by ALD or other suitable technique on functionalized carbon nanotubes.
[00139] The carbon nanotubes can then be annihilated by the oxidation process of Example IX, resulting in nanotubular structures of the selected oxide material, or can be retained in the structures if desired. A suspension of the nanotubular structures can then be formed with a selected liquid medium. This liquid suspension is spin-coated on a dielectric layer to be etched by a fluorine-based plasma. Scandium oxide etches 100 to 1000 times slower than silicon dioxide and therefore is an excellent etch mask for silicon dioxide etch processes. [00140] In one example etch process provided by the invention, the oxide nanotube etch mask is spin-coated on a substrate to provide a blanket masking layer over a dielectric layer on the substrate, for which a low-κ characteristic is desired. Such can be the case for, e.g., intermetal dielectric layers where a dielectric constant of less than about 4 is desired. The spin-coated masking
layer includes oxide nanotubes at random orientations distributed across the dielectric layer surface.
[00141] With the masking layer in place, a fluorine-based plasma etch process is carried out: 200W of microwave power, 50V of DC bias, 2.0 seem O2 flow rate , 40.0 seem CF4 flow rate , and a pressure of 20.0 mTorr. The random pattern of the layer of masking oxide nanotubes shields the underlying dielectric layer in a corresponding fashion such that the plasma etch forms vertical walls, through the layer, of the protected material regions, that are oriented in random directions across the layer. The high-aspect ratio pattern of oxide nanotube distribution in the masking layer is therefore replicated in the underlying etched dielectric layer by the plasma etch. This etch process results in a porous dielectric layer having a commensurate low-κ characteristic. The dielectric constant of the dielectric layer can be prespecified, e.g., as less than about 4, and tailored by correspondingly selecting the density of nano tubular structures included in the spin- coated masking layer. It is to be recognized that some empirical analysis can be required to correlate the oxide nanotube density of a masking layer with a prescribed dielectric constant for a dielectric layer to be etched.
[00142] The walls in the dielectric layer formed by the plasma etch process are considerably more robust than cylindrical pillars of comparable dimensions made from nanoparticle masks. As a result, this method enables chemomechanical polishing (CMP) to be carried out on solid dielectric layers that have high mechanical strength, rather than on conventional porous dielectrics that have low strength. Only after the CMP of a top layer is complete is the dielectric etched. In addition, the random orientation of nanotubes produces randomly oriented walls of the remaining dielectric layer enabling a high shear strength in any direction.
[00143] In a typical interconnect structure with trenches and vias underneath, the etch depth may conveniently extend at least through the thickness corresponding to the trenches, because the capacitance between the
trenches causes more significant delays in signal propagation than the dielectric remaining between the vias. Once the porous etch method is complete, the etch mask can be removed by any convenient cleaning process, such as blowing with a gas or a spray of dry ice pellets, or by CMP. Any nanotubes that escape the polishing step to remain on the dielectric layer are harmless in that they are insulators themselves and therefore cannot cause a short circuit between metal layers.
Example XI Fabrication of a Coaxially-Gated Ballistic SWNT Field Effect Transistor. [00144] A SWNT is synthesized in a configuration that is suitable for coaxial coating, such as the synthesis process of Example I. The surface of the synthesized, suspended SWNT is then functionalized, stabilized, and coaxially coated with an insulator, such as AI2O3, and then a selected metal, such as WN, as in Example VII. The coaxial, suspended core-shell SWNT structure is then coated with a suitable photoresist and the photoresist is exposed only at the ends of the SWNT structure with UV light, electrons, or other suitable resist exposure species. The resist is then developed, exposing only the ends of the SWNT core-shell structure.
[00145] The metal and insulator materials are then etched off of the surface of the SWNT at the two ends of the nanotube, e.g., by conventional metal and oxide wet or dry etches, to expose the surface of the nanotube at its ends. Electrical contact pads and electrical connections to the SWNT surface then are formed. The contact pads and electrical connections are produced by evaporation of Pd, Rh or other selected metal that does not form a Schottky barrier with the nanotube onto this structure, making electrical contact between with the exposed SWNT ends and contact pads. A photoresist lift-off technique is employed to pattern the metal contact material. The remaining resist is removed with conventional lift-off procedures, producing a SWNT that is coaxially passivated with an insulator, coaxially gated with a metal, and electrically accessible through the metal contacts at the ends of the nanotube. This structure provides a SWNT field effect transistor geometry that is very
precisely defined and fabricated by the ALD processes of the invention. With palladium or rhodium, or other similar metal as the electrically conducting contact material, the structure is characterized as a ballistic field effect transistor. Example XII
Coaxially-Gated Schottky Barrier- modulated SWNT Field Effect Transistor
[00146] Field effect transistor fabrication with a suspended SWNT is carried out as in Example XI. But instead of Pd or Rh, a metal that produces a Schottky barrier at each metal/SWNT junction, such at Mo or Pt, is employed to make contact to the ends of the nanotube. The result is a SWNT field-effect transistor that is modulated by carrier transport over and through the Schottky barriers.
Example XIII Bulk-Modulated SWNT Field Effect Transistor [00147] Referring to Fig. 6A (not to scale), a supporting substrate 50, e.g., a quartz chip, or other suitable substrate is provided. Electrically conducting contact pads 52, 54, of, e.g., 50 nm-thick Mo and 50 nm-thick Ti layers, are patterned on the substrate to provide transistor source and drain connections. A metal line 56 of Mo and Ti is provided between the source and drain pads 52, 54. The source and drain pads and metal line are formed by conventional liftoff.
[00148] Referring to Fig. 6B, then, as in Example I, a trench 62 of 1 μm in width and 0.5 μm in depth is cut, by focused ion beam or other suitable method, into the substrate 50, across the metal line 56 between the source and drain pads. This forms a gap 60 between the source and drain pads and self- aligns the edges of the source and drain pads with the edge of the trench 62. As shown in Fig. 6C, 0.5 nm-thick layer 64 of, e.g., AI2O3 or other selected material is then deposited by ALD on the electrode surfaces to prevent alloying between the molybdenum and the nanotube catalyst metal.
[00149] Referring to Fig. 6D, then a catalyst layer 66 of Co of about 0.3 nm in thickness is deposited and patterned by liftoff on the electrode surfaces to form catalyst regions. With the catalyst in place, then as in Example I above, one or more SWNTs 70 are synthesized across the trench 60 between source and drain, as shown in Fig. 6E. The catalyst agglomerates at the synthesis temperature and is effectively removed by this process. For clarity only a single synthesized nanotube is shown in the figures.
[00150] Turning to Fig. 6F, a portion of the source and drain electrodes 52, 54 far from the suspended SWNT are masked with glass slide 74 or similar smooth surface, or with a photolithography resist. The structure is then coated with a layer 72 of AI2O3 or other suitable material. This insulating layer 72 coats the pre-patterned metal electrodes, except the region underneath the masks 74. The insulating layer does not coat the suspended section of the SWNT because as-synthesized, the nanotube is inert to vapor deposition processes such as the ALD deposition process. The nanotube is thereby self- masked from the insulator deposition process. The insulating layer does, however, coat the junction at the ends of the SWNT and the source and drain pads because the dielectric layer can grow up from the substrate surface around the edges of the nanotube. This selective deposition can be carried out with any selected vapor process, including, e.g., low-temperature CVD processes, to which a SWNT is chemically inert.
[00151] The selective nature of the deposition during this insulating layer formation enables the precise definition of the nanotube gate length. Specifically, the thickness of the layer can be selected to define a chosen gate length. Given that the suspended nanotube length can be approximated as the width of the trench prior to the nanotube synthesis step, then the AI2O3 thickness can be correspondingly selected so that the extent of the nanotube surface that is left uncoated by this step defines the gate length. For example, given a 200 nm-wide trench, then a AI2O3 layer of 50 nm in thickness coats 50 nm at the edges of the trench, as shown in Fig. 6F, leaving an uncoated
nanotube suspension length of 100 nm. This 100 nm nanotube length then defines the length of the gate.
[00152] With this insulating layer in place, the SWNT is then functionalized as in Example III, with a functionalization and a stabilization layer formed on the nanotube surface. Referring to Fig. 6G, then a gate dielectric layer 76 of AI2O3 is deposited by ALD or other suitable technique. Here the deposition does coat the functionalized suspended nanotube to define a suspended gate the length of which is defined by this coating. The gate dielectric layer 76 is therefore preferably of a thickness selected as the coaxial gate oxide thickness for the field effect transistor. For many applications, a gate oxide thickness of about 5 nm can be preferable. Thicknesses less than about 5 nm can enable electronic tunneling phenomena, and thicknesses greater than about 5 nm can result in a loss of capacitive coupling with the nanotube gate. [00153] Referring to Figs. 6H-6I, a coaxial metal gate layer 78 of WN, TiN, Cu, Ru, or other material is then deposited and patterned by the ALD techniques of the examples above, and with lift-off over the SWNT length. Fig. 61 is a schematic planar view, not to scale, indicating the geometry of the gate layer 78 across the length of the nanotube 70 and overlapping the ends of the source and drain connections 52, 54 with the nanotube. The thickness of the gate metal is preferably sufficiently large to produce a sheet resistance that is sufficiently small for the selected device geometry. ALD of WN is a preferred gate material with a thickness greater than about 20 nm.
[00154] With this configuration, the thickness of the insulating layer at the junction of the SWNT and the source and drain electrical connections is greater than the thickness of the gate dielectric encasing the functionalized length of the SWNT. As explained above, this results from the insulating layer deposition conditions under which the unfunctionalized nanotube is inert to the deposition, and precisely defines the length of the nanotube that operates as the gate. With this arrangement, the electric field generated by application
of a voltage to the gate electrode has a greater effect along the thinly-coated SWNT length than at the thickly-coated SWNT/metal junctions at the ends of the nanotube. Given that the insulating layer at the SWNT/metal junctions is sufficiently thick, the effect of the field at those junctions is substantially negligible, and the SWNT field effect transistor is well-controlled for bulk- modulated operation.
Example XIV Vertical SWNT Field Effect Transistor
[00155] Referring to Fig. 7A (not to scale), a supporting substrate 100, e.g., a quartz chip, or other suitable substrate is provided. A trench 102 is formed in the substrate and a catalyst region 104 of Co is formed in the trench for growth of a nanotube in the trench. The metal catalyst region 104 is produced by evaporation and lift-off, as in the examples above. Alternatively, an isolated catalyst region 104 can be produced by blanket ALD of Co or other metal over the entire structure and polish of the metal off of the top surface of the substrate 100. A non-conformal refractory protective layer could instead be deposited over the catalyst on the surface of the substrate 100. For example, CVD or non-conformal (low-exposure) ALD Siθ2 may be used to cover and deactivate the catalyst on the top surface, where nanotubes are not desired. [00156] Referring to Fig. 7B, a carbon nanotube 110 is then grown following the synthesis of Example I vertically up and extending out of the trench 102. As shown in Fig. 7C, a layer 112 of metal is then deposited over the structure by ALD techniques in the manner given in the examples above for, e.g., Rh, Pd, and Ru. Because an ALD technique is employed for the metal deposition, the section 114 of the nanotube 110 that is freely standing above the substrate is not coated with the metal, given the inertness of the nanotube to the ALD process. The region of the nanotube in the trench 102 is coated with the metal because the metal grows from the walls of the trench and thus deposits around the nanotube in the trench. This forms the source (or drain) of the transistor with an electrical connection to the nanotube.
[00157] Then as shown in Fig. 7D an insulating layer 116 of silicon dioxide is deposited over the structure, by a vapor process such as a CVD process or the ALD techniques in the manner of the examples above. The selected vapor process preferably is one to which the SWNT is inert. With this condition, the section 118 of the nanotube 110 that is freely standing above the substrate is not coated with the insulating layer, given the inertness of the nanotube to the ALD or other selected vapor process.
[00158] The surface 120 of the nanotube 110 is then functionalized and stabilized following the procedure of the examples above, as shown in Fig. 7E, and then as shown in Fig. 7F, a layer 122 of gate dielectric is deposited by ALD techniques over the structure. This adds to the thickness of the first insulating layer 116 and coats the functionalized carbon nanotube 110 as well. Referring to Fig. 7G, a layer 124 of gate metal is then deposited by ALD techniques in the manner of the examples above, coating the gate oxide 122 over the nanotube and coating the thicker oxide layer 116.
[00159] Referring to Fig. 7H, a layer 126 of silicon dioxide is then deposited by ALD in the manner of the examples above, covering the gate metal layer 124 for defining the connection to the drain region of the transistor. Then as shown in Fig. 71, the top layers are polished off by CMP to expose the end 130 of the nanotube 110. Then as shown in Fig. 7J, the top region 134 of the gate insulator layer 122 is removed from around the nanotube. This is carried out by a chemically selective etch that removes the high-k oxide without dissolving the thicker insulator 126. For example, if the insulator 126 is Siθ2, then hydrochloric acid can be used to selectively remove a high-k insulator, such as lanthanum oxide.
[00160] As shown in Fig. 7K, the top region 136 of the metal gate layer 124 is then selectively removed. For example, if tungsten nitride is used as a gate metal, then it is selectively removed by a hydrogen peroxide-ammonia etch solution. With this configuration, then, as shown in Fig. 7L, a layer 140 of silicon dioxide is deposited by ALD techniques on the structure. The silicon
dioxide deposits around the nanotube 110 in a region 142 where other surfaces are available for deposition, but does not deposit on the exposed nanotube itself, due to the inert nature of the bare nanotube surface to the ALD process. Finally, as shown in Fig. 7M, a metal layer 144 is deposited by ALD to form the drain contact. With this deposition, source and drain contacts 112, 144, and a gate contact 124 are provided for connection along the nanotube transistor structure, and bulk modulation of the transistor along the nanotube length is achieved.
Example XV Functionalization of Silicon
[00161] A p-type Si wafer was functionalized in accordance with the invention. Before functionalization, the Si substrate, of 0.05 - 0.07 Ω-cm, was dipped in dilute hydrofluoric acid (HF) to remove the native oxide. The substrate was then exposed to 5 min of UV/ozone processing to remove surface organics, and re-dipped in dilute HF to remove any oxide created from ozone exposure. The HF-last Si wafer was then functionalized under optimized conditions of 50 NO -TMA cycles at a pressure of 300 mTorr and a temperature of 25°C, and coated with 10 nm of ALD Al O at 2500C. For comparison, a 10 nm-thick layer of ALD Al O was also formed on a clean but non-functionalized p-type Si wafer.
[00162] MOS capacitors were then fabricated on the functionalized and
-4 2 non-functionalized p-type Si substrates. 50-nm-thick, 1 x 10 cm aluminum control gates were photolithographically patterned on the top surface of the 10- nm-thick ALD oxide layer. Because the back surfaces of the substrates were also coated with ALD oxide during the oxide deposition, the backside Al 2 O 3 layer was etched with phosphoric acid (H PO ), and the underlying native SiO was etched with dilute HF to expose the silicon underneath. A 50 nm-thick layer of Al was then deposited by evaporation on the backside to form a second contact electrode and thus to complete MOS capacitor fabrication.
[00163] Capacitance-Voltage (C-V) analysis was performed on the functionalized and non-functionalized MOS capacitors. Fig. 8A is a plot of capacitance as a function of voltage for a non-functionalized Si substrate. Fig, 8B is a plot of capacitance as a function of voltage for a non-functionalized Si substrate. Capacitors formed on the substrate without the functionalization layer exhibited small amounts of hysteresis and negligible frequency dispersion. Capacitors formed on the substrate with functionalization exhibited significant hysteresis and frequency dispersion.
[00164] From this data, it is evident that the NO -TMA functional layer degraded the quality of the MOS dielectric. The pronounced counterclockwise hysteresis and significant flat-band voltage frequency dispersion of the functionalized structure is indicative of a dielectric containing trapped dipoles. This is understood to result from the polar nature of NOg.
Example XVI Annealing of Functionalized Silicon
[00165] P-type Si wafers like those of Example XV were functionalized in the manner of Example XV and were subjected to an anneal prior to metallization in the manner of Example XV. Six different anneal temperatures were employed for six distinct anneal processes. All anneals were performed in a 200 seem flowing Ar ambient for two hours at atmospheric pressure. The electrode patterning of Example XV was then carried out.
[00166] Figs. 9A-9F are plots of characteristic hysteresis and frequency dispersion for measured C-V curves for Si wafers having no anneal, an anneal at 3000C, at 400°C, at 5000C, at6 6000C, and at 7000C, respectively. It is seen from the plots that the hysteresis and frequency dispersion decrease with higher annealing temperatures. The optimal temperatures are found to be between 5000C and 6000C, where both the hysteresis and the frequency dispersion are relatively negligible. This is also true at 7000C, however the flat-band voltage shifts away from 0 V at this anneal temperature, and therefore this temperature may not be preferable for all applications.
[00167] Ellipsometry was carried out on an Al 2 O 3 /NO 2 -TMA film prior to the annealing step and after the annealing step. It was found that the functionalization layer adds an additional 3 nm - 3.5 nm of thickness to the MOS structure. This thickness is not changed by the annealing process. It is understood that this measurement indicates that appreciable amounts of the functional complexes do not diffuse out of the dielectric, and suggests that the improved C-V characteristics produced by an anneal are the result of another NO passivation mechanism.
[00168] To determine further the nature of the functionalization layer impact on capacitive characteristics, the MOS structure of Example XV was again fabricated on a p-type Si wafer, of 14 - 20 Ω-cm, but here with a 2 nm- thick layer of SiO 2 provided on the wafer. The functionalization layer was sandwiched between the SiO 2 layer and a capping layer of 10 nm-thick Al 2 O 3.
[00169] The C-V characteristic of this MOS structure was measured. Significant hysteresis and frequency dispersion were measured even for this example with the functional layer embedded inside the dielectric as opposed to deposited directly on the Si surface. This configuration eliminated any interaction between the functionalization layer and the Si interface, and therefore reinforces the conclusion that the functionalization layer is the direct cause of the observed capacitance characteristics.
[00170] As a result of these measurements, a suitable anneal, e.g., a 5000C anneal, after functionalization and ALD dielectric coating, can be preferred in accordance with the invention. Such an anneal does not alter the breakdown field of the insulator, but can cause some increase in the leakage current through the film. Even with such an increase, it is preferred in accordance with the invention to include an annealing step for processes in which non-ideal capacitive characteristics are detrimental for a given application.
Example XVII
Functionalization and Formation of Dielectric Layers on Graphite and Graphene
[00171] A graphite sheet and a graphene layer are individually subjected to 50 NO2-TMA ALD functionalization cycles in a vacuum pressure of about 300 mTorr, under the continuous flow of argon at a flow rate of about 50 seem at a temperature of about 25°C. One cycle consists of a 30 mL NO2 vapor dose at about 960 Torr followed by a 2 min purge with argon, then a 6 mL TMA vapor dose at about 10 Torr, followed by a 2 min purge. [00172] After the 50 functionalization cycles are complete, 5 cycles of a stabilization process are carried out. Here the stabilization layer is provided as high-κ AI2O3 deposited by ALD at 25°C. One ALD AI2O3 stabilization cycle consists of a 6 mL does of TMA at 10 Torr, followed by a 2 min purge with argon, then water vapor at about 24 Torr pulsed for 0.2 s in a vacuum at about 300 mTorr, followed by a 5 minute purge with argon. A layer of about 0.5 nm in thickness is formed.
[00173] The temperature is then increased to 225°C for additional AI2O3 deposition on the functionalization and stabilization layers. This AI2O3 deposition is carried by alternating doses of TMA vapor, at a flow of about 6 mL and a pressure of about 10 Torr, and water vapor, at a pressure of about 24 Torr, with the valve open for about 0.2 s. Between doses, 30 to 60 second purges are carried out. The base pressure during deposition is 300 mTorr, and there is a constant flow of argon of about 50 seem through the reactor during this process. [00174] An anneal is carried out as follows. The base pressure is increased to 1 atm under 200 seem of flowing Ar. Once at 1 atm, the Ar flow is kept at 200 seem, flowing through the chamber and out through an oil bubbler. The furnace is then ramped to 500°C, and maintained at this temperature for 2 hours. After 2 hours, the temperature is decreased to 25°C, still under 200 seem Ar at 1 atm. If desired, the structure is then ready for further processing.
[00175] The resulting thin layer of aluminum oxide can be employed on the graphite sheet and on the graphene layer to electrically insulate part or all of the graphite or graphene surface as part of a microelectronic device or structure. Further, the metal oxide layer can be employed to protect the graphite and graphene from oxidation at high temperatures, or to protect the graphite and graphene from highly oxidizing chemical environments.
[00176] In further techniques, hafnium oxide, rather than aluminum oxide, or other material can be deposited on the functionalized graphite and on the graphene layers, e.g., as described by Hausmann et al., Chemistry of Materials, V. 14, pp. 4350 to 4358, 2002, herein incorporated by reference.
Example XVIII Anneal of Functionalized Carbon Nanotubes
[00177] Carbon nanotubes that were functionalized as in Example III are placed in a furnace chamber and the base pressure is increased to 1 atm under 200 seem of flowing Ar. Once at 1 atm, the Ar flow is kept at 200 seem, flowing through the chamber and out through an oil bubbler. The furnace is then ramped to 5000C, and maintained at this temperature for 2 hours. After 2 hours, the temperature is decreased to 25°C, still under 200 seem Ar at 1 atm.
[00178] The functionalization, stabilization, and annealing steps of the present invention, as described above, can be applied to a wide range of substrates and three-dimensional structures, such as carbon nanotubes, other fullerene structures, planar and non-planar carbon-based materials, and various microelectronic structures. The invention is well-suited for enabling material deposition on any three-dimensional structure, planar or non-planar, that is inherently inert to such deposition and that is compatible with the functionalization and stabilization processes.
[00179] Thus, once the functionalization and stabilization steps have been completed on a selected structure and an anneal step completed if desired for a given application, the structure can be used as a scaffold for growing many other materials by ALD or other selected process, including metals and their
oxides, nitrides, and sulfides. With ALD as the material coating process, the thickness of the coating can be set at any desired value because the growth per ALD cycle is highly reproducible. By changing reactants after a certain number of ALD cycles, nanolaminate or core-shell structures can also be constructed, e.g., with nanotubes. Thin plates of oxidation-resistant material can be formed by oxidation of a graphite substrate under which an oxidation- resistant coating is provided after the functionalization process.
[00180] For many applications, an anneal step can be appropriate to reduce or eliminate undesirable capacitive properties, including trapped charges and recombination centers. Because the functionalization technique of the invention avoids covalent modification of the underlying structure, it is understood that the optoelectronic properties of structures are preserved. A wide range of electronic devices, including SWNT devices with ultrathin gate dielectrics and coaxially- gated geometries, and planar graphene devices, are enabled by the processes of the invention. A wide range of applications of the functionalized carbon nanotubes provided by the invention include coaxially- gated carbon nanotube-based devices, carbon nanotube-based sensors, and nanotubes of selected materials for, e.g., etch masking.
[00181] By using optimized functionalization parameters, graphene, graphite, nanotubes, and other structures can be coated with high-κ dielectric material that is exceptionally thin, continuous, and conformal. Changes in material conductance caused by functionalization can be avoided by Schottky barrier passivation. It is recognized, of course, that those skilled in the art may make various modifications and additions to the processes of the invention without departing from the spirit and scope of the present contribution to the art. Accordingly, it is to be understood that the protection sought to be afforded hereby should be deemed to extend to the subject matter of the claims and all equivalents thereof fairly within the scope of the invention. [00182] We claim:
Claims
1. A method for functionalizing a carbon nanotube surface comprising exposing the nanotube surface to at least one vapor including at least one functionalization species that non- covalently bonds to the nanotube surface, to form -CH3 functional groups at the nanotube surface.
2. The method of claim 1 wherein the at least one functionalization species includes NO2.
3. The method of claim 1 wherein the at least one vapor comprises a first vapor including a first functionalization species that is physisorbed on the nanotube surface and a second vapor including a second functionalization species that reacts with the physisorbed first functionalization species to form on the nanotube surface the -CH3 groups.
4. The method of claim 3 wherein the second functionalization species is selected from a group consisting of trimethylaluminum, dimethylzinc, trimethylgallium, trimethylindium, trimethylbismuth, tetrakis(dimethylamido)hafnium, and tetrakis(dimethylamido)zirconium.
5. The method of claim 1 further comprising exposing the functionalized nanotube surface to at least one vapor stabilization species that is reactive with the -CH3 groups and that provides chemically functional groups at the nanotube surface, to produce a stabilized nanotube surface.
6. The method of claim 5 wherein the at least one vapor stabilization species consists of water vapor and a species selected from a group consisting of trimethylaluminum, dimethylzinc, trimethylgallium, trimethylindium, trimethylbismuth, tetrakis(dimethylamido)hafnium, and tetrakis(dimethylamido)zirconium.
7. A method for forming a material layer on a carbon nanotube surface comprising: exposing the nanotube surface to at least one vapor including at least one functionalization species that non-covalently bonds to the nanotube surface while providing a functionalization layer of chemically functional groups to produce a functionalized nanotube surface, the functionalization layer being characterized as substantially one monolayer in thickness; exposing the functionalized nanotube surface to at least one vapor stabilization species that reacts with the functionalization layer to form a stabilization layer that stabilizes the functionalization layer against desorption from the nanotube surface while providing chemically functional groups at the nanotube surface to produce a stabilized nanotube surface, the stabilization layer being characterized as substantially one monolayer in thickness; and exposing the stabilized nanotube surface to at least one material layer precursor species that deposits a material layer on the stabilized nanotube surface.
8. The method of claim 7 wherein the functionalization species exposure, stabilization species exposure, and material layer precursor species exposure all are carried out by atomic layer deposition of the functionalization species, stabilization species, and precursor species.
9. A functionalized single-walled carbon nanotube comprising a coaxial nanotube structure including a functionalization layer of — CH3 groups at a nanotube surface that are non-covalently bonded to the nanotube surface.
10. The single- walled carbon nanotube of claim 9 further comprising a stabilization layer, overlying the functionalization layer, that is covalently bonded to the -CH3 groups and that provides chemically functional groups at the nanotube surface.
11. A single- walled carbon nanotube semiconductor device comprising: a single-walled carbon nanotube connected between electrically conducting source and drain contacts and having a nanotube length; an insulating layer of a first thickness disposed on at least a portion of the source and drain contacts and covering a span of the nanotube, at each end of the nanotube at the source and drain contacts, that is substantially equal to the first thickness; a gate dielectric layer of a second thickness, less than the first thickness, disposed coaxially around a central span of the nanotube on which was not disposed the insulating layer of a first thickness; and an electrically conducting gate metal layer disposed at least coaxially around the dielectric layer on the nanotube.
12. A method for functionalizing a planar surface of a microelectronic structure comprising exposing the planar microelectronic structure surface to at least one vapor including at least one functionalization species that non-covalently bonds to the planar microelectronic surface while providing a functionalization layer of chemically functional groups, to produce a functionalized planar microelectronic surface.
13. The method of claim 12 further comprising exposing the functionalized planar microelectronic surface to at least one vapor stabilization species that reacts with the functionalization layer to form a stabilization layer that stabilizes the functionalization layer against desorption from the planar microelectronic surface while providing chemically functional groups at the planar microelectronic surface, to produce a stabilized planar microelectronic surface.
14. The method of claim 12 wherein the planar microelectronic surface comprises a microelectronic material selected from the group consisting of silicon, silicon dioxide, and silicon nitride.
15. The method of claim 12 wherein the planar microelectronic surface comprises a semiconducting material.
16. The method of claim 12 wherein the planar microelectronic surface comprises an insulating material.
17. The method of claim 12 wherein the planar microelectronic surface comprises an electrically conducting material.
18. The method of claim 12 wherein the planar microelectronic surface comprises a surface of a microelectronic wafer.
19. The method of claim 12 wherein the at least one vapor comprises a first vapor including a first functionalization species that is physisorbed on the planar microelectronic surface and a second vapor including a second functionalization species that reacts with the physisorbed first functionalization species to form on the planar microelectronic surface a functionalization layer of chemically functional groups.
20. The method of claim 19 wherein the chemically functional groups comprise — CHb groups.
21. The method of claim 19 wherein the first functionalization species is selected from a group consisting of NO2 and CH3ONO.
22. The method of claim 19 wherein the second functionalization species is selected from a group consisting of trimethylaluminum, dimethylzinc, trimethylgallium, trimethylindium, trimethylbismuth, tetrakis(dimethylamido)hafnium, and tetrakis(dimethylamido)zirconium .
23. The method of claim 19 wherein the planar microelectronic surface exposure comprises cyclically alternating exposure of the planar microelectronic surface to the first vapor and the second vapor.
24. The method of claim 12 further comprising exposing the functionalized planar microelectronic surface to at least one vapor stabilization species that reacts with the functionalization layer to form a stabilization layer that stabilizes the functionalization layer against desorption from the planar microelectronic surface while providing chemically functional groups at the planar microelectronic surface, to produce a stabilized planar microelectronic surface.
25. The method of claim 24 wherein the functionalization species exposure of the planar microelectronic surface and the stabilization species exposure of the planar microelectronic surface are carried out at a temperature of about 25°C.
26. The method of claim 24 further comprising exposing the stabilized planar microelectronic surface to at least one material layer precursor species that deposits a material layer on the stabilized planar microelectronic surface.
27. A method for functionalizing a graphene layer comprising exposing the graphene layer to at least one vapor including at least one functionalization species that non-covalently bonds to the graphene layer while providing a functionalization layer of chemically functional groups, to produce a functionalized graphene layer.
28. The method of claim 27 wherein the graphene layer is disposed on a substrate.
29. The method of claim 27 wherein the graphene layer is disposed on a layer of oxide.
30. The method of claim 27 wherein the chemically functional groups comprise -CH3 groups.
31. The method of claim 27 wherein the at least one vapor comprises a first vapor including a first functionalization species that is physisorbed on the graphene layer and a second vapor including a second functionalization species that reacts with the physisorbed first functionalization species to form on the graphene layer a functionalization layer of chemically functional groups.
32. The method of claim 31 wherein the first functionalization species is selected from a group consisting of NO2 and CH3ONO.
33. The method of claim 31 wherein the second functionalization species is selected from a group consisting of trimethylaluminum, dimethylzinc, trimethylgallium, trimethylindium, trimethylbismuth, tetrakis(dimethylamido)hafnium, and tetrakis(dimethylamido)zirconium.
34. The method of claim 31 wherein the graphene layer exposure comprises cyclically alternating exposure of the graphene layer to the first vapor and the second vapor.
35. The method of claim 27 further comprising exposing the graphene layer to at least one vapor stabilization species that reacts with the functionalization layer to form a stabilization layer that stabilizes the functionalization layer against desorption from the graphene layer while providing chemically functional groups at the graphene layer, to produce a stabilized graphene layer.
36. The method of claim 35 wherein the functionalization species exposure of the graphene layer and the stabilization species exposure of the graphene layer are carried out at a temperature of about 25°C.
37. The method of claim 35 further comprising exposing the stabilized graphene layer to at least one material layer precursor species that deposits a material layer on the stabilized the graphene layer.
38. A method for functionalizing a graphite surface comprising exposing the graphite surface to at least one vapor including at least one functionalization species that non-covalently bonds to the graphite surface while providing a functionalization layer of chemically functional groups, to produce a functionalized graphite surface.
39. The method of claim 38 wherein the graphite surface comprises a surface of a bulk graphite structure.
40. The method of claim 38 wherein the graphite surface comprises a surface of a graphitic layer.
41. The method of claim 38 wherein the chemically functional groups comprise — CH3 groups.
42. The method of claim 38 wherein the at least one vapor comprises a first vapor including a first functionalization species that is physisorbed on the graphite surface and a second vapor including a second functionalization species that reacts with the physisorbed first functionalization species to form on the graphite surface a functionalization layer of chemically functional groups.
43. The method of claim 42 wherein the first functionalization species is selected from a group consisting of NO2 and CH3ONO.
44. The method of claim 42 wherein the second functionalization species is selected from a group consisting of trimethylaluminum, dimethylzinc, trimethylgallium, trimethylindium, trimethylbismuth, tetrakis(dimethylamido)hafnium, and tetrakis(dimethylamido)zirconium.
45. The method of claim 42 wherein the graphite surface exposure comprises cyclically alternating exposure of the graphite surface to the first vapor and the second vapor.
46. The method of claim 38 further comprising exposing the graphite surface to at least one vapor stabilization species that reacts with the functionalization layer to form a stabilization layer that stabilizes the functionalization layer against desorption from the graphite surface while providing chemically functional groups at the graphite surface, to produce a stabilized graphite surface.
47. The method of claim 46 wherein the functionalization species exposure of the graphite surface and the stabilization species exposure of the graphite surface are carried out at a temperature of about 25°C.
48. The method of claim 46 further comprising exposing the stabilized graphite surface to at least one material layer precursor species that deposits a material layer on the stabilized graphite surface.
49. A method for functionalizing a structural surface comprising: exposing the surface to at least one vapor including at least one functionalization species that non-covalently bonds to the surface while providing a functionalization layer of chemically functional groups, to produce a functionalized surface; exposing the functionalized surface to at least one vapor stabilization species that reacts with the functionalization layer to form a stabilization layer that stabilizes the functionalization layer against desorption from the surface while providing chemically functional groups at the surface, to produce a stabilized surface; and annealing the stabilized surface at a peak annealing temperature between about 300°C and about 700°C.
50. The method of claim 49 wherein the peak annealing temperature between about 5000C and about 6000C.
51. The method of claim 49 wherein annealing the stabilized surface is carried out in a substantially inert atmosphere.
52. The method of claim 49 further comprising exposing annealed surface to at least one material layer precursor species that deposits a material layer on the surface.
53. The method of claim 49 wherein the structural surface comprises a surface of a carbon-based structure.
54. The method of claim 53 wherein the carbon-based structure is selected from the group consisting of graphite, graphene, and carbon nanotubes.
55. The method of claim 49 wherein the structural surface comprises a surface of a non-carbon-based microelectronic material.
56. The method of claim 55 the microelectronic material is selected from the group consisting of silicon, silicon dioxide, and silicon nitride.
57. The method of claim 55 the microelectronic material comprises a semiconducting material.
58. The method of claim 55 the microelectronic material comprises an electrically conducting material.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US93445407P | 2007-06-13 | 2007-06-13 | |
US60/934,454 | 2007-06-13 |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2008156583A1 true WO2008156583A1 (en) | 2008-12-24 |
Family
ID=39744026
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2008/007228 WO2008156583A1 (en) | 2007-06-13 | 2008-06-10 | Gas-phase functionalization of surfaces including carbon-based surfaces |
Country Status (1)
Country | Link |
---|---|
WO (1) | WO2008156583A1 (en) |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP2402999A1 (en) * | 2010-06-29 | 2012-01-04 | IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik | Semiconductor component, method of producing a semiconductor component, semiconductor device |
US8278643B2 (en) | 2010-02-02 | 2012-10-02 | Searete Llc | Doped graphene electronic materials |
US8354323B2 (en) | 2010-02-02 | 2013-01-15 | Searete Llc | Doped graphene electronic materials |
US8426842B2 (en) | 2010-02-02 | 2013-04-23 | The Invention Science Fund I, Llc | Doped graphene electronic materials |
US8455981B2 (en) | 2010-02-02 | 2013-06-04 | The Invention Science Fund I, Llc | Doped graphene electronic materials |
US8563965B2 (en) | 2010-02-02 | 2013-10-22 | The Invention Science Fund I, Llc | Doped graphene electronic materials |
GB2556051A (en) * | 2016-11-14 | 2018-05-23 | Aurubis Belgium Nv/Sa | Methods and apparatus for use in producing carbon nanotube/metal composites |
US10038060B2 (en) | 2016-05-19 | 2018-07-31 | Qualcomm Incorporated | Graphene NMOS transistor using nitrogen dioxide chemical adsorption |
CN108807855A (en) * | 2018-06-19 | 2018-11-13 | 武汉艾特米克超能新材料科技有限公司 | A kind of method for coating and battery of negative material |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2008085183A2 (en) * | 2006-02-07 | 2008-07-17 | President And Fellows Of Harvard College | Gas-phase functionalization of carbon nanotubes |
-
2008
- 2008-06-10 WO PCT/US2008/007228 patent/WO2008156583A1/en active Application Filing
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2008085183A2 (en) * | 2006-02-07 | 2008-07-17 | President And Fellows Of Harvard College | Gas-phase functionalization of carbon nanotubes |
Non-Patent Citations (5)
Title |
---|
FARMER D B ET AL: "Atomic layer deposition on suspended single-walled carbon nanotubes via gas-phase noncovalent functionalization", NANO LETTERS AMERICAN CHEM. SOC USA, vol. 6, no. 4, 4 March 2006 (2006-03-04), pages 699 - 703, XP002496848, ISSN: 1530-6984 * |
J. R. WILLIAMS ET AL: "Quantum Hall Effect in a Gate-Controlled p-n Junction of Graphene", SCIENCE, vol. 317, 15 June 2007 (2007-06-15), pages 638 - 641, XP002496850 * |
LESKELA M ET AL: "Atomic Layer Deposition Chemistry: Recent Developments and Future Challenges", ANGEWANDTE CHEMIE - INTERNATIONAL EDITION 20031124 WILEY-VCH VERLAG DE, vol. 42, no. 45, 24 November 2003 (2003-11-24), pages 5548 - 5554, XP002496849 * |
Y. LU ET AL: "DNA Functionalization of Carbon Nanotubes for Ultrathin Atomic Layer Deposition of High k Dielectrics for Nanotube Transistors with 60 mV/Decade Switching", J.AM.CHEM.SOC., vol. 128, 1 March 2006 (2006-03-01), pages 3518 - 3519, XP002496851 * |
Y. LU ET AL: "DNA Functionalization of Carbon Nanotubes for Ultrathin Atomic Layer Deposition of High k Dielectrics for Nanotube Transistors with 60 mV/Decade Switching-Supporting Online Material", J.AM.CHEM.SOC., vol. 128, 1 March 2006 (2006-03-01), pages 3518 - 3519, XP002496852, Retrieved from the Internet <URL:http://pubs.acs.org/subscribe/journals/jacsat/suppinfo/ja058836v/ja058836vsi20060212_012127.pdf> [retrieved on 20080923] * |
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8278643B2 (en) | 2010-02-02 | 2012-10-02 | Searete Llc | Doped graphene electronic materials |
US8354323B2 (en) | 2010-02-02 | 2013-01-15 | Searete Llc | Doped graphene electronic materials |
US8426842B2 (en) | 2010-02-02 | 2013-04-23 | The Invention Science Fund I, Llc | Doped graphene electronic materials |
US8455981B2 (en) | 2010-02-02 | 2013-06-04 | The Invention Science Fund I, Llc | Doped graphene electronic materials |
US8563965B2 (en) | 2010-02-02 | 2013-10-22 | The Invention Science Fund I, Llc | Doped graphene electronic materials |
US8735985B2 (en) | 2010-02-02 | 2014-05-27 | The Invention Science Fund I, Llc | Doped graphene electronic materials |
US8927969B2 (en) | 2010-02-02 | 2015-01-06 | Searete Llc | Doped graphene electronic materials |
EP2402999A1 (en) * | 2010-06-29 | 2012-01-04 | IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik | Semiconductor component, method of producing a semiconductor component, semiconductor device |
US10038060B2 (en) | 2016-05-19 | 2018-07-31 | Qualcomm Incorporated | Graphene NMOS transistor using nitrogen dioxide chemical adsorption |
GB2556051A (en) * | 2016-11-14 | 2018-05-23 | Aurubis Belgium Nv/Sa | Methods and apparatus for use in producing carbon nanotube/metal composites |
CN108807855A (en) * | 2018-06-19 | 2018-11-13 | 武汉艾特米克超能新材料科技有限公司 | A kind of method for coating and battery of negative material |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9425406B2 (en) | Gas-phase functionalization of surfaces of microelectronic structures | |
EP1999067B1 (en) | Gas-phase functionalization of carbon nanotubes | |
WO2008156583A1 (en) | Gas-phase functionalization of surfaces including carbon-based surfaces | |
US8400053B2 (en) | Carbon nanotube films, layers, fabrics, ribbons, elements and articles | |
KR102360025B1 (en) | Method for forming amorphous carbon monolayer and electronic device having the amorphous carbon monolayer | |
US7335395B2 (en) | Methods of using pre-formed nanotubes to make carbon nanotube films, layers, fabrics, ribbons, elements and articles | |
US7566478B2 (en) | Methods of making carbon nanotube films, layers, fabrics, ribbons, elements and articles | |
JP5184357B2 (en) | Method for producing vanadium oxide thin film | |
US7491269B2 (en) | Method for catalytic growth of nanotubes or nanofibers comprising a NiSi alloy diffusion barrier | |
US7560136B2 (en) | Methods of using thin metal layers to make carbon nanotube films, layers, fabrics, ribbons, elements and articles | |
US20080280038A1 (en) | Methods of using thin metal layers to make carbon nanotube films, layers, fabrics, ribbons, elements and articles | |
EP1677373A2 (en) | Method of using pre-formed nanotubes to make carbon nanotube films, layers, ribbons, elements and articles | |
WO2004065657A1 (en) | Methods of making carbon nanotube films, layers, fabrics, ribbons, elements and articles | |
WO2004065671A1 (en) | Carbon nanotube films, layers, fabrics, ribbons, elements and articles | |
US8394664B2 (en) | Electrical device fabrication from nanotube formations | |
JP6158798B2 (en) | Device including nanostructure and manufacturing method thereof | |
Hermann et al. | Wafer level approaches for the integration of carbon nanotubes in electronic and sensor applications | |
Teh et al. | Multiwalled carbon nanotube growth mechanism on conductive and non-conductive barriers | |
Hoa et al. | Carbon nanotube gas sensor fabricated on anodic aluminum oxide |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 08768293 Country of ref document: EP Kind code of ref document: A1 |
|
NENP | Non-entry into the national phase |
Ref country code: DE |
|
WWE | Wipo information: entry into national phase |
Ref document number: 12670596 Country of ref document: US |
|
122 | Ep: pct application non-entry in european phase |
Ref document number: 08768293 Country of ref document: EP Kind code of ref document: A1 |