RU2717232C1 - Two-cycle resonance dc-dc converter - Google Patents

Two-cycle resonance dc-dc converter Download PDF

Info

Publication number
RU2717232C1
RU2717232C1 RU2019116302A RU2019116302A RU2717232C1 RU 2717232 C1 RU2717232 C1 RU 2717232C1 RU 2019116302 A RU2019116302 A RU 2019116302A RU 2019116302 A RU2019116302 A RU 2019116302A RU 2717232 C1 RU2717232 C1 RU 2717232C1
Authority
RU
Russia
Prior art keywords
winding
power
capacitor
primary winding
circuit
Prior art date
Application number
RU2019116302A
Other languages
Russian (ru)
Inventor
Борис Александрович Глебов
Original Assignee
Борис Александрович Глебов
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Борис Александрович Глебов filed Critical Борис Александрович Глебов
Priority to RU2019116302A priority Critical patent/RU2717232C1/en
Application granted granted Critical
Publication of RU2717232C1 publication Critical patent/RU2717232C1/en

Links

Images

Classifications

    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02MAPPARATUS FOR CONVERSION BETWEEN AC AND AC, BETWEEN AC AND DC, OR BETWEEN DC AND DC, AND FOR USE WITH MAINS OR SIMILAR POWER SUPPLY SYSTEMS; CONVERSION OF DC OR AC INPUT POWER INTO SURGE OUTPUT POWER; CONTROL OR REGULATION THEREOF
    • H02M3/00Conversion of dc power input into dc power output
    • H02M3/22Conversion of dc power input into dc power output with intermediate conversion into ac
    • H02M3/24Conversion of dc power input into dc power output with intermediate conversion into ac by static converters
    • H02M3/28Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac
    • H02M3/325Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal
    • H02M3/335Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal using semiconductor devices only
    • H02M3/338Conversion of dc power input into dc power output with intermediate conversion into ac by static converters using discharge tubes with control electrode or semiconductor devices with control electrode to produce the intermediate ac using devices of a triode or a transistor type requiring continuous application of a control signal using semiconductor devices only in a self-oscillating arrangement

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Dc-Dc Converters (AREA)

Abstract

FIELD: physics; electricity.
SUBSTANCE: invention relates to power conversion equipment and is intended for conversion and control of energy consumed from DC source, and transmitting the converted energy to its receiver using a transformer link between the source and the energy receiver circuits. Invention is resonance type voltage converter. It contains: electric circuit in the form of the first and the second branches, each of which is connected between supply buses and is formed by two power keys connected in series with controlled direct conductivity and uncontrolled inverse conductivity, for example, field transistors. Besides, the converter contains the first and the second throttle. One output of the first throttle winding is connected to the connection point of power switches of the first branch, and one output of the second throttle winding is connected to the connection point of power switches of the second branch. Converter comprises first primary winding magnetically connected to secondary winding connected via appropriate rectifier to output filter capacitor, in parallel to which DC load is connected, and a second primary winding magnetically connected to the secondary winding connected through a corresponding rectifier to the output filter capacitor, in parallel to which the DC load is connected, besides, the capacitor and four diodes.
EFFECT: technical result is higher energy efficiency of electric power conversion.
3 cl, 12 dwg

Description

Предлагаемое устройство относится к силовой преобразовательной технике и предназначено для преобразования и регулирования энергии, потребляемой от источника постоянного тока, и передачи преобразованной энергии ее приемнику с использованием трансформаторной связи между цепями источника и приемника энергии.The proposed device relates to a power converting technique and is intended for converting and regulating the energy consumed from a direct current source, and transmitting the converted energy to its receiver using transformer coupling between the source and receiver power circuits.

Известен двухтактный преобразователь напряжения резонансного типа (патент РФ №2455746 «Двухтактный мостовой преобразователь» по заявке №2010118703 от 12.05.2010. Опубликовано 10.07.2012. Автор: Глебов Б.А. Патентообладатель: ЗАО «Связьинжиниринг» (RU)). В известном устройстве содержатся:A push-pull resonant voltage converter is known (RF patent No. 2455746 "Push-pull bridge converter" by application No. 201018703 dated 05/12/2010. Published on July 10, 2012. Author: B. Glebov. Patent holder: Svyazengineering CJSC (RU)). The known device contains:

- Электрическая цепь в виде первой и второй ветвей, каждая из которых включена между шинами питания и образована двумя соединенными последовательно силовыми ключами с управляемой прямой проводимостью и неуправляемой инверсной проводимостью (например, полевыми транзисторами). Электрическая цепь, кроме того, содержит также первый и второй дроссели, и один вывод обмотки первого из указанных дросселей подключен к точке соединения силовых ключей первой ветви, а один вывод обмотки второго из дросселей подключен к точке соединения силовых ключей второй ветви.- An electric circuit in the form of the first and second branches, each of which is connected between the power buses and is formed by two power switches connected in series with controlled direct conductivity and uncontrolled inverse conductivity (for example, field effect transistors). The electric circuit also contains the first and second chokes, and one terminal of the winding of the first of these chokes is connected to the connection point of the power switches of the first branch, and one terminal of the winding of the second of the chokes is connected to the connection point of the power switches of the second branch.

- Первая первичная обмотка, магнитно связанная с вторичной обмоткой, подключенной через соответствующий выпрямитель к конденсатору выходного фильтра, параллельно которому включена нагрузка постоянного тока, и вторая первичная обмотка, магнитно связанная с вторичной обмоткой, подключенной через соответствующий выпрямитель к конденсатору выходного фильтра, параллельно которому включена нагрузка постоянного тока.- The first primary winding magnetically connected to the secondary winding connected through an appropriate rectifier to the output filter capacitor in parallel with which the DC load is connected, and the second primary winding magnetically connected to the secondary winding connected through the corresponding rectifier to the output filter capacitor in parallel to which is connected DC load.

- Конденсатор и четыре диода: первый, второй, третий и четвертый.- Capacitor and four diodes: first, second, third and fourth.

При этом первая первичная обмотка, упомянутый конденсатор и вторая первичная обмотка, образуют трехзвенную последовательную электрическую цепь. В ней первая и вторая первичные обмотки силового трансформатора включены согласно. Первый вывод конденсатора связан с первой и второй шинами питания соответственно через первый и второй диоды, а второй вывод конденсатора связан с первой и второй шинами питания соответственно через третий и четвертый диоды.In this case, the first primary winding, said capacitor and the second primary winding, form a three-link serial electrical circuit. In it, the first and second primary windings of the power transformer are included according to. The first output of the capacitor is connected to the first and second power lines, respectively, through the first and second diodes, and the second output of the capacitor is connected to the first and second power lines, respectively, through the third and fourth diodes.

К первому выводу трехзвенной последовательной электрической цепи подключен второй вывод обмотки первого дросселя, а ко второму выводу трехзвенной последовательной электрической цепи подключен второй вывод обмотки второго дросселя.The second terminal of the winding of the first inductor is connected to the first output of the three-link serial electric circuit, and the second terminal of the winding of the second inductor is connected to the second output of the three-link serial electric circuit.

В первом варианте известного устройства первичные обмотки, связанные магнитно с вторичной обмоткой, подключенной к нагрузке, объединены общим магнитопроводом, на котором размещена эта вторичная обмотка, и все перечисленные обмотки вместе с магнитопроводом образуют единый трансформатор.In the first embodiment of the known device, the primary windings, magnetically connected to the secondary winding connected to the load, are combined by a common magnetic circuit on which this secondary winding is placed, and all of these windings together with the magnetic circuit form a single transformer.

В другом варианте известного устройства каждой первичной обмотке и вторичной обмотке, связанной с ней магнитно, соответствует отдельный магнитопровод, который вместе с размещенными на нем обмотками образуют отдельный трансформатор.In another embodiment of the known device, each primary winding and the secondary winding associated with it magnetically correspond to a separate magnetic circuit, which together with the windings placed on it form a separate transformer.

Недостатком известного устройства является то, что в каждом цикле работы в режиме передачи максимальной мощности конденсатор резонансной LC-цепи заряжается до полного напряжения питания. Это означает передачу в этот конденсатор энергии, которая могла бы быть передана в цепь нагрузки. Тем самым снижается эффективность преобразования электрической энергии.A disadvantage of the known device is that in each cycle of operation in the maximum power transmission mode, the capacitor of the resonant LC circuit is charged to a full supply voltage. This means transferring energy to this capacitor, which could be transferred to the load circuit. Thereby, the conversion efficiency of electric energy is reduced.

Целью предлагаемых технических решений является повышение эффективность преобразования электрической энергии.The aim of the proposed technical solutions is to increase the efficiency of conversion of electrical energy.

Поставленная цель достигается тем, что в двухтактный резонансный DC/DC-преобразователь введены дополнительный трансформатор и дополнительный выпрямитель. При этом первичная обмотка дополнительного трансформатора включена параллельно упомянутому конденсатору резонансной LC-цепи, а вторичная обмотка через дополнительный выпрямитель подключена к конденсатору выходного фильтра.This goal is achieved by the fact that an additional transformer and an additional rectifier are introduced into the push-pull resonant DC / DC converter. In this case, the primary winding of the additional transformer is connected in parallel with the capacitor of the resonant LC circuit, and the secondary winding is connected to the output filter capacitor through an additional rectifier.

В первом варианте предлагаемого устройства, изображенном на фиг. 1, к шинам питания 1 и 2 (положительной и отрицательной) подключен источник питания 3. Между этими шинами включена первая электрическая цепь в виде двух ветвей. Одна из них образована двумя соединенными последовательно силовыми ключами 4 и 5, другая - соединенными последовательно силовыми ключами 6 и 7. В первой электрической цепи присутствуют два дросселя 8 и 9 с одинаковой индуктивностью обмоток. Условие иметь строгое равенство не является обязательным. Однако для упрощения описания физических процессов считается, что индуктивности равны.In a first embodiment of the device of FIG. 1, power supply 3 is connected to power buses 1 and 2 (positive and negative). Between these buses, the first electric circuit is connected in the form of two branches. One of them is formed by two power switches 4 and 5 connected in series, the other by power switches 6 and 7 connected in series. The first electric circuit contains two chokes 8 and 9 with the same winding inductance. The condition of strict equality is not mandatory. However, to simplify the description of physical processes, it is believed that the inductances are equal.

Силовые ключи 4, 5, 6 и 7 обладают управляемой прямой проводимостью и неуправляемой инверсной проводимостью. В дальнейшем силовые ключи с такими свойствами на чертежах, относящихся к разным вариантам выполнения предлагаемого устройства, изображены в виде полевых транзисторов. Этот класс полупроводниковых приборов характеризуются управляемой проводимостью для токов прямого направления и постоянно присутствующей высокой проводимостью для токов инверсного направления. Управление прямой проводимостью обеспечивается сигналами, задаваемыми во входные цепи полевых транзисторов.Power switches 4, 5, 6 and 7 have controlled direct conductivity and uncontrolled inverse conductivity. In the future, power switches with such properties in the drawings relating to different embodiments of the proposed device are shown in the form of field-effect transistors. This class of semiconductor devices is characterized by controlled conductivity for currents of forward direction and constantly present high conductivity for currents of inverse direction. Direct conductivity control is provided by signals specified in the input circuits of field-effect transistors.

Независимо от реального вида применяемых в предлагаемом устройстве силовых ключей, обязательным условием является подобие их свойств указанным выше свойствам полевых транзисторов. Далее в описании с целью сокращения термин «силовой ключ с управляемой прямой проводимостью и неуправляемой инверсной проводимостью» замещается термином «силовой транзистор».Regardless of the actual type of power switches used in the proposed device, a prerequisite is the similarity of their properties to the above properties of field-effect transistors. Further in the description, for the purpose of abbreviation, the term "power switch with controlled direct conductivity and uncontrolled inverse conductivity" is replaced by the term "power transistor".

Силовой трансформатор 10 устройства, изображенного на фиг. 1, выполнен с первой и второй первичными обмотками 11 и 12. Обмотки обладают одинаковыми числами витков и гальванически отделены одна от другой. Можно считать, что первичная обмотка силового трансформатора 10 обладает числом витков, которое равно сумме чисел витков обмоток 11 и 12.The power transformer 10 of the device of FIG. 1, is made with the first and second primary windings 11 and 12. The windings have the same number of turns and are galvanically separated from one another. We can assume that the primary winding of the power transformer 10 has a number of turns, which is equal to the sum of the number of turns of the windings 11 and 12.

В устройстве на фиг. 1 сформирована трехзвенная последовательная электрическая цепь, которая образована первой первичной обмоткой 11 упомянутого силового трансформатора 10 (первое звено), конденсатором 13 (второе звено), и второй первичной обмоткой 12 (третье звено). Эти элементы соединены последовательно, причем первичные обмотки 11 и 12 включены согласно. Первичные обмотки 11 и 12 в сумме составляют полную первичную обмотку силового трансформатора 10.In the device of FIG. 1, a three-link serial circuit is formed, which is formed by the first primary winding 11 of said power transformer 10 (first link), a capacitor 13 (second link), and the second primary winding 12 (third link). These elements are connected in series, and the primary windings 11 and 12 are included according. The primary windings 11 and 12 add up to the total primary winding of the power transformer 10.

Второй вывод обмотки дросселя 8 непосредственно соединен с первым выводом указанной последовательной электрической цепи (с началом первой первичной обмотки 11 силового трансформатора 10), а второй вывод обмотки дросселя 9 непосредственно соединен со вторым выводом этой цепи (с концом второй первичной обмотки 12 силового трансформатора 10).The second output of the inductor winding 8 is directly connected to the first output of the indicated serial electric circuit (with the beginning of the first primary winding 11 of the power transformer 10), and the second output of the inductor winding 9 is directly connected to the second output of this circuit (with the end of the second primary winding 12 of the power transformer 10) .

Первый вывод конденсатора 13 подключен к шинам питания 1 и 2 через диоды 14 и 15, а второй вывод этого конденсатора - через диоды 16 и 17.The first output of the capacitor 13 is connected to the power buses 1 and 2 through the diodes 14 and 15, and the second output of this capacitor is through the diodes 16 and 17.

Вторичная обмотка 18 силового трансформатора 10 через выпрямитель 19 подключена к конденсатору 20 выходного фильтра, параллельно которому включена нагрузка постоянного тока 21.The secondary winding 18 of the power transformer 10 through a rectifier 19 is connected to the capacitor 20 of the output filter, in parallel with which a DC load 21 is connected.

Предлагаемое устройство отличается от устройства-прототипа тем, что в него введен дополнительный трансформатор 22 с первичной и вторичной обмотками 23 и 24, а также дополнительный выпрямитель 25. Первичная обмотка 23 включена параллельно конденсатору 13 резонансной LC-цепи, а вторичная обмотка 24 через дополнительный выпрямитель 25 подключена к конденсатору 20 выходного фильтра.The proposed device differs from the prototype device in that it includes an additional transformer 22 with primary and secondary windings 23 and 24, as well as an additional rectifier 25. The primary winding 23 is connected in parallel to the capacitor 13 of the resonant LC circuit, and the secondary winding 24 through an additional rectifier 25 is connected to the capacitor 20 of the output filter.

Принцип регулирования потока энергии, передаваемой от источника питания 3 в нагрузку 21, основан на использовании колебательного характера электрических процессов, возникающих при работе устройства и обусловленных присутствием в нем элементов LC-цепи. Элементы LC-цепи включают в себя:The principle of regulating the flow of energy transmitted from the power source 3 to the load 21 is based on the use of the oscillatory nature of the electrical processes that occur during operation of the device and due to the presence of LC circuit elements in it. Elements of an LC chain include:

- индуктивность обмотки дросселя 8, которая подключена к первому выводу упомянутой трехзвенной последовательной электрической цепи (к началу первой первичной обмотки 11 первого силового трансформатора 10);- the inductance of the inductor winding 8, which is connected to the first output of the three-link serial electrical circuit (to the beginning of the first primary winding 11 of the first power transformer 10);

- конденсатор 13;- capacitor 13;

- индуктивность обмотки дросселя 9, которая подключена ко второму выводу упомянутой трехзвенной последовательной электрической цепи (к концу второй первичной обмотки 12 первого силового трансформатора 10).the inductance of the inductor winding 9, which is connected to the second terminal of the three-link serial electrical circuit (to the end of the second primary winding 12 of the first power transformer 10).

Известен способ управления силовыми транзисторами мостовой схемы, получивший название "фазовое управление" ("phase-shift pulse wight modulation" - "phase-shift PWM", англ.). Способ состоит в том, что первый и второй транзисторы, соединенные в мостовой схеме последовательно, управляются парафазными импульсными сигналами первой их последовательности (UA, UB на фиг. 2). Третий и четвертый транзисторы, также соединенные в мостовой схеме последовательно, управляются парафазными импульсными сигналами второй их последовательности (UС, UD на фиг. 2). При этом вторая последовательность парафазных импульсных сигналов сдвинута относительно первой последовательности на регулируемое время. Изменением времени сдвига между импульсными последовательностями обеспечивается регулирование величины выходного напряжения. Применительно к мостовой резонансной схеме способ фазового управления описан в патенте РФ №2572002.A known method of controlling power transistors of a bridge circuit, called "phase control"("phase-shift pulse wight modulation" - "phase-shift PWM", Eng.). The method consists in the fact that the first and second transistors connected in series in a bridge circuit are controlled by paraphase pulse signals of their first sequence (U A , U B in Fig. 2). The third and fourth transistors, also connected in series in the bridge circuit, are controlled by paraphase pulse signals of their second sequence (U C , U D in Fig. 2). In this case, the second sequence of paraphase pulse signals is shifted relative to the first sequence by an adjustable time. By changing the shear time between pulse sequences, the output voltage is regulated. With respect to the bridge resonant circuit, the phase control method is described in RF patent No. 2572002.

Устройством управления обеспечивается «технологическая» задержка появления сигналов, формируемых в каждом данном такте, по отношению к завершению сигналов управления, сформированных в предшествующем такте.The control device provides a “technological” delay in the appearance of signals generated in each given clock cycle, in relation to the completion of the control signals generated in the previous clock cycle.

Окончанием сигналов обеспечивается запирание силовых транзисторов, которые в предыдущем такте были в состоянии высокой проводимости. Из-за «технологической» задержки появления сигналов, формируемых в очередном такте, образуется интервал, в течение которого все силовые транзисторы схемы заперты. Соответственно на этом интервале разрывается связь между средними точками соединенных последовательно силовых транзисторов всех ветвей схемы с шинами питания 1 и 2.The end of the signals provides locking power transistors, which in the previous clock cycle were in a state of high conductivity. Due to the “technological” delay in the appearance of signals generated in the next clock cycle, an interval is formed during which all the power transistors of the circuit are locked. Accordingly, at this interval, the connection between the midpoints of the power transistors connected in series to all branches of the circuit with power buses 1 and 2 is broken.

Ток LC-цепи, благодаря энергии, запасенной к моменту окончания предыдущего такта в магнитных накопителях (дросселях) LC-цепи, продолжает некоторое время протекать в том же направлении, какое было в момент запирания тех силовых транзисторов, что были в состоянии прямой проводимости в момент непосредственно перед окончанием сигналов управления в предыдущем такте. Этим током перезаряжаются емкости силовых транзисторов.The current of the LC circuit, due to the energy stored at the end of the previous cycle in the magnetic storage devices (chokes) of the LC circuit, continues to flow for some time in the same direction as when the power transistors were locked that were in direct conduction at the moment immediately before the end of control signals in the previous measure. The capacitors of power transistors are recharged with this current.

На интервале перезаряда емкостей силовых транзисторов в течение короткого промежутка времени происходит постепенное понижение потенциала средней точки той ветви электрической цепи на фиг. 1, которая в течение предыдущего такта была «привязана» к высокому потенциалу шины 1. Указанная привязка была осуществлена через силовой транзистор, подключенный к этой шине, который был в состоянии высокой проводимости в предыдущем такте. Например, если предыдущий такт был четным, то высокое значение потенциала в начале интервала перезаряда имеет место на средней точке той ветви, которая была подключена к шине 1 через силовой транзистор 6. Когда понижающийся потенциал средней точки достигнет небольшого отрицательного значения, в состояние инверсной проводимости перейдет силовой транзистор, выходная цепь которого находится между указанной средней точкой и шиной 1. Если предыдущий такт был четным, то в состояние инверсной проводимости перейдет силовой транзистор 7.In the interval of recharging the capacitors of power transistors for a short period of time, the potential of the midpoint of that branch of the electric circuit in FIG. 1, which during the previous cycle was “tied” to the high potential of bus 1. The specified binding was made through a power transistor connected to this bus, which was in a state of high conductivity in the previous cycle. For example, if the previous clock cycle was even, then a high potential value at the beginning of the recharge interval occurs at the midpoint of the branch that was connected to bus 1 via a power transistor 6. When the lowering potential of the midpoint reaches a small negative value, it will go into the state of inverse conductivity a power transistor whose output circuit is between the indicated midpoint and bus 1. If the previous clock cycle was even, then the power transistor 7 will go into the inverse conduction state.

Одновременно с рассмотренным процессом перезаряда емкостей силовых транзисторов 6 и 7 в течение короткого промежутка времени происходит постепенное повышение потенциала средней точки той ветви электрической цепи на фиг. 1, которая в течение предыдущего такта была «привязана» к нулевому потенциалу шины 2. Указанная привязка была осуществлена через силовой транзистор, подключенный к этой шине, который был в состоянии высокой проводимости в предыдущем такте. Например, если предыдущий такт был четным, то низкое значение потенциала (близкое к нулю) в начале интервала перезаряда имеет место на средней точки той ветви, которая была подключена к шине 2 через силовой транзистор 5. Когда повышающийся потенциал средней точки незначительно превысит положительный потенциал шины 1, в состояние инверсной проводимости перейдет силовой транзистор, выходная цепь которого находится между указанной средней точкой и шиной 1. Если предыдущий такт был четным, то в состояние инверсной проводимости перейдет силовой транзистор 4.Simultaneously with the considered process of recharging the capacitances of power transistors 6 and 7, a gradual increase in the potential of the midpoint of that branch of the electric circuit in FIG. 1, which was “tied” to the zero potential of bus 2 during the previous cycle. The specified connection was made through a power transistor connected to this bus, which was in a state of high conductivity in the previous cycle. For example, if the previous clock cycle was even, then a low potential value (close to zero) at the beginning of the recharge interval occurs at the midpoint of the branch that was connected to bus 2 via power transistor 5. When the rising midpoint potential slightly exceeds the positive potential of the bus 1, a power transistor will go into the state of inverse conductivity, the output circuit of which is between the indicated midpoint and bus 1. If the previous clock cycle was even, then the power will go into the state of inverse conductivity th transistor 4.

Процесс перезаряда емкостей силовых транзисторов занимает столь незначительное время в сравнении с периодом работы, что длительностью этого процесса можно пренебречь. Поэтому без существенной погрешности можно считать, что практически сразу за запиранием одной пары силовых транзисторов в каждой из электрических цепей на фиг. 1 в состояние инверсной проводимости переходит другая пара.The process of recharging the capacities of power transistors takes such a short time in comparison with the period of operation that the duration of this process can be neglected. Therefore, without a significant error, we can assume that almost immediately after the locking of one pair of power transistors in each of the electrical circuits in FIG. 1, another pair goes into the state of inverse conduction.

При значениях индуктивностей обмоток дросселей 8 и 9, которые равны Lrej2, и значении емкости конденсатора 13, равном Cres, резонансная частота LC-цепи составляет величину

Figure 00000001
На этой частоте работает предлагаемое устройство.With the values of the inductances of the windings of the chokes 8 and 9, which are equal to L re j2, and the value of the capacitor 13 equal to C res , the resonant frequency of the LC circuit is
Figure 00000001
At this frequency, the proposed device.

Длительность импульсов напряжения на выходе мостовой схемы, т.е. между точками 4 и 7 в схеме на фиг. 1, зависит от значения регулирующего параметра D. Длительность импульсов максимальна и равна половине периода работы устройства при значении D=1. Длительность импульсов сокращается при уменьшении D.The duration of the voltage pulses at the output of the bridge circuit, i.e. between points 4 and 7 in the circuit of FIG. 1, depends on the value of the regulatory parameter D. The pulse duration is maximum and equal to half the period of operation of the device with a value of D = 1. The pulse duration decreases with decreasing D.

При уменьшении длительности импульсов на выходе транзисторной мостовой схемы снижается амплитуда напряжения на конденсаторе резонансной LC-цепи, а также амплитуда тока, который потребляется от мостовой схемы и передается в первичную обмотку силового трансформатора. Соответственно уменьшается мощность, передаваемая в цепь нагрузки предлагаемого устройства. Сказанное иллюстрируется временными диаграммами, представленными на фиг. 3-6. Диаграммы построены по результатам моделирования электрических процессов в предлагаемой схеме.With a decrease in the pulse duration at the output of the transistor bridge circuit, the voltage amplitude at the capacitor of the resonant LC circuit decreases, as well as the amplitude of the current that is consumed from the bridge circuit and transferred to the primary winding of the power transformer. Accordingly, the power transmitted to the load circuit of the proposed device is reduced. The foregoing is illustrated by the timing diagrams shown in FIG. 3-6. The diagrams are based on the results of modeling electrical processes in the proposed circuit.

Напряжение на конденсаторе 13 резонансной LC-цепи представляется знакопеременной функцией времени, нарастающей и спадающей плавно. Соответственно плавно нарастает напряжение на первичной и вторичной обмотках дополнительного трансформатора 22. Когда нарастающее напряжение вторичной обмотки 24 незначительно превысит уровень, до которого заряжен конденсатор 20 выходного фильтра (на величину падения напряжения на вентильных элементах выпрямителя 25), эти элементы переходят в состояние высокой проводимости. При этом напряжение вторичной обмотки 24 перестает изменяться и фиксируется на уровне, который практически равен напряжению на конденсаторе 20 выходного фильтра. Перестает также изменяться напряжение на первичной обмотке 23 дополнительного трансформатора 22, т.е. напряжение на конденсаторе 13 резонансной LC-цепи. Ток первичной обмотки силового трансформатора 10, который до момента фиксации напряжения на конденсаторе 13 протекал через него, начинает течь по первичной обмотке 23 дополнительного трансформатора 22, и через этот трансформатор в цепь нагрузки поступает мощность. Она является дополнительной по отношению к мощности, передаваемой в цепь нагрузки силовым трансформатором 10.The voltage across the capacitor 13 of the resonant LC circuit is represented by an alternating function of time, increasing and decreasing smoothly. Accordingly, the voltage on the primary and secondary windings of the additional transformer 22 gradually increases. When the increasing voltage of the secondary winding 24 slightly exceeds the level to which the capacitor 20 of the output filter is charged (by the magnitude of the voltage drop across the valve elements of the rectifier 25), these elements go into a state of high conductivity. In this case, the voltage of the secondary winding 24 ceases to change and is fixed at a level that is almost equal to the voltage on the capacitor 20 of the output filter. The voltage on the primary winding 23 of the additional transformer 22 also ceases to change, i.e. the voltage across the capacitor 13 of the resonant LC circuit. The primary current of the power transformer 10, which until the voltage across the capacitor 13 was fixed, flows through the primary winding 23 of the additional transformer 22, and through this transformer power is supplied to the load circuit. It is additional in relation to the power transmitted to the load circuit by a power transformer 10.

По отношению к цепи нагрузки (элементы 20 и 21 на фиг. 1) схема DC/DC-преобразователя выступает источником однополярных импульсов тока, нарастающих и спадающих плавно. Амплитуда этих импульсов тока и среднее значение тока, поступающее в нагрузку 21, зависит от величины регулирующего параметра D. На фиг. 7 представлены семейства характеристик регулирования среднего значения выходного тока предлагаемой схемы, построенные по результатам ее моделирования. Кривые семейства даны для ряда значений напряжения на первичной обмотке силового трансформатора 10, представленных в относительных единицах (нормированных) согласно выражению U1n=U1/Ue. В этом выражении: U1 - напряжение, переданное в первичную обмотку силового трансформатора из его вторичной обмотки, Ue - напряжение питания схемы.With respect to the load circuit (elements 20 and 21 in Fig. 1), the DC / DC converter circuit acts as a source of unipolar current pulses that rise and fall smoothly. The amplitude of these current pulses and the average value of the current supplied to the load 21 depends on the magnitude of the control parameter D. FIG. 7 shows the family of characteristics of regulation of the average value of the output current of the proposed circuit, based on the results of its modeling. Family curves are given for a number of voltage values on the primary winding of the power transformer 10, presented in relative units (normalized) according to the expression U1n = U1 / Ue. In this expression: U1 is the voltage transmitted to the primary winding of the power transformer from its secondary winding, Ue is the supply voltage of the circuit.

Как следует из кривых на фиг. 7, характеристики регулирования выходного тока представляются в виде монотонно нарастающих функций, которые изменяются от нуля и принимают максимальное значение при величине регулирующего параметра D, равном единице. Соответственно условию D=1 при каждом значении U1n отвечает максимум выходной мощности устройства.As follows from the curves in FIG. 7, the characteristics of the regulation of the output current are presented in the form of monotonously increasing functions that change from zero and take a maximum value when the value of the control parameter D is equal to unity. Accordingly, the condition D = 1 for each value of U1n corresponds to the maximum output power of the device.

Напряжение на конденсаторе 13 резонансной LC-цепи ограничено значением, которое превышает питающее напряжение Ue на величину падений напряжения в прямом направлении на диодах 14, 17 (или 15, 16). Поэтому оказываются принудительно ограниченными максимумы величин выходных напряжения, тока и мощности устройства.The voltage across the capacitor 13 of the resonant LC circuit is limited by a value that exceeds the supply voltage Ue by the magnitude of the forward voltage drops on the diodes 14, 17 (or 15, 16). Therefore, the maxima of the values of the output voltage, current, and power of the device turn out to be forcibly limited.

При включении схемы в работу энергия накапливается в элементах резонансной LC-цепи постепенно от такта к такту. Приращение этой энергии за каждый такт зависит от соотношения напряжения на первичной обмотки и напряжения питания, т.е. от напряжения U1n на первичной обмотке, выраженного в относительных единицах. Чем ближе к единице это значение, тем меньше указанное приращение энергии, и, следовательно, тем больше время нарастания выходной мощности до максимального установившегося значения.When you turn on the circuit in operation, energy accumulates in the elements of the resonant LC circuit gradually from step to step. The increment of this energy for each cycle depends on the ratio of the voltage on the primary winding and the supply voltage, i.e. from voltage U1n on the primary winding, expressed in relative units. The closer to unity this value is, the smaller the indicated increment of energy, and, therefore, the longer the rise time of the output power to the maximum steady-state value.

На фиг. 8 по результатам моделирования предлагаемого устройства даны зависимости времени достижения его выходной мощностью максимального установившегося значения от напряжения, которое передается в первичную обмотку силового трансформатора 10 из его вторичной обмотки. Время на фиг. 8 дано в относительных единицах (по отношению к длительности периода работы схемы).In FIG. 8 according to the simulation results of the proposed device, the dependences of the time it takes for its output power to reach the maximum steady-state value on the voltage that is transmitted to the primary winding of the power transformer 10 from its secondary winding are given. The time in FIG. 8 is given in relative units (relative to the length of the period of operation of the circuit).

Как следует из фиг. 8, время переходного процесса принимает приемлемо низкие значения при выполнении неравенства U1n≤0.9. В дальнейшем предполагается, что это неравенство реализовано в схеме.As follows from FIG. 8, the transient time assumes acceptably low values when the inequality U1n≤0.9 is satisfied. It is further assumed that this inequality is realized in the scheme.

На фиг. 9 по результатам моделирования предлагаемого устройства и устройства-прототипа даны зависимости максимума выходной мощности предлагаемой схемы и схемы-прототипа от напряжения, передаваемого в первичную обмотку силового трансформатора из его вторичной обмотки. Как следует из кривых на фиг. 9, оба устройства, предлагаемое и устройство-прототип, обладают свойством параметрической стабилизации мощности, передаваемой в нагрузку, при условии ограниченного диапазона изменения параметра U1n. Однако предлагаемое устройство передает в нагрузку большую мощность и обладает более широким диапазоном параметрической стабилизации мощности (точность параметрической стабилизации, например, ±10%). Соответственно, предлагаемое устройство потребляет от источника питания меньшее значение тока, чем устройство-прототип, что иллюстрируется кривыми, представленными на фиг. 10, построенными по результатам моделирования. Таким образом, достигнута поставленная цель изобретения - повышение эффективности преобразования электрической энергии.In FIG. 9, according to the simulation results of the proposed device and the prototype device, the dependences of the maximum output power of the proposed circuit and the prototype circuit on the voltage transmitted to the primary winding of the power transformer from its secondary winding are given. As follows from the curves in FIG. 9, both devices proposed and the prototype device have the property of parametric stabilization of the power transmitted to the load, subject to a limited range of variation of the parameter U1n. However, the proposed device transfers more power to the load and has a wider range of parametric power stabilization (accuracy of parametric stabilization, for example, ± 10%). Accordingly, the proposed device consumes a lower current value from the power source than the prototype device, as illustrated by the curves shown in FIG. 10, built on the basis of simulation results. Thus, the goal of the invention is achieved - increasing the efficiency of conversion of electrical energy.

Значения токов, представленные на фиг. 7 и фиг. 10 в относительных единицах, отнесены к нормирующему току In=(Pout_max/Ue), где значение Pout_max соответствует режиму работы, при котором D=1 и U1n→1.The current values shown in FIG. 7 and FIG. 10 in relative units are assigned to the normalizing current In = (Pout_max / Ue), where the value Pout_max corresponds to the operating mode in which D = 1 and U1n → 1.

Кривые на фиг. 11 иллюстрируют мощность, передаваемую в нагрузку дополнительным трансформатором, по отношению к полной выходной мощности устройства.The curves in FIG. 11 illustrates the power transmitted to the load by an additional transformer with respect to the total output power of the device.

Устройство, представленное на фиг. 12, по построению, принципу действия и достижению поставленной цели аналогично рассмотренному выше, которое изображено на фиг. 1. Оно отличается от него только тем, что передает мощность в нагрузку постоянного тока 21 двумя одинаковыми силовыми трансформаторами 10-1 и 10-2. Первичная обмотка 11 силового трансформатора 10-1 образует первое звено трехзвенной последовательной электрической цепи, конденсатор 13 - второе звено, а первичная обмотка 12 силового трансформатора 10-2 образует третье звено этой цепи. В трехзвенной последовательной электрической цепи первичные обмотки 11 и 12 включены согласно.The device shown in FIG. 12, in terms of construction, principle of operation and achievement of the set goal, is similar to that discussed above, which is shown in FIG. 1. It differs from it only in that it transfers power to the DC load 21 with two identical power transformers 10-1 and 10-2. The primary winding 11 of the power transformer 10-1 forms the first link of a three-link serial electric circuit, the capacitor 13 forms the second link, and the primary winding 12 of the power transformer 10-2 forms the third link of this circuit. In a three-link serial electrical circuit, the primary windings 11 and 12 are included according to.

Вторичная обмотка 18-1 трансформатора 10-1 подключена к конденсатору 20 выходного фильтра через выпрямитель 19-1, а вторичная обмотка 18-2 трансформатора 10-2 подключена к этому конденсатору через выпрямитель 19-2.The secondary winding 18-1 of the transformer 10-1 is connected to the capacitor 20 of the output filter through the rectifier 19-1, and the secondary winding 18-2 of the transformer 10-2 is connected to this capacitor through the rectifier 19-2.

Вид вторичных обмоток трансформаторов (однофазные или двухфазные), а также конструкция выпрямителей (мостовая схема или схема с двумя вентильными элементами и, кроме того, вид применяемых вентильных элементов) не являются существенными признаками предлагаемого устройства. На всех чертежах вторичные обмотки обозначены как однофазные. Им соответствуют мостовые схемы выпрямителей.The type of secondary windings of transformers (single-phase or two-phase), as well as the design of rectifiers (bridge circuit or circuit with two valve elements and, in addition, the type of valve elements used) are not essential features of the proposed device. In all the drawings, the secondary windings are indicated as single-phase. Rectifier bridge circuits correspond to them.

Claims (3)

1. Двухтактный резонансный DC-DC преобразователь, содержащий электрическую цепь в виде первой и второй ветвей, каждая из которых включена между шинами питания и образована двумя соединенными последовательно силовыми ключами с управляемой прямой проводимостью и неуправляемой инверсной проводимостью, например, полевыми транзисторами, а также в виде первого и второго дросселей, и один вывод обмотки первого из указанных дросселей подключен к точке соединения силовых ключей первой ветви, а один вывод обмотки второго дросселя подключен к точке соединения силовых ключей второй ветви, а также содержащий первую первичную обмотку, магнитно связанную с вторичной обмоткой, подключенной через соответствующий выпрямитель к конденсатору выходного фильтра, параллельно которому включена нагрузка постоянного тока, вторую первичную обмотку, магнитно связанную с вторичной обмоткой, подключенной через соответствующий выпрямитель к конденсатору выходного фильтра, параллельно которому включена нагрузка постоянного тока, конденсатор, первый, второй, третий и четвертый диоды, причем первая первичная обмотка, упомянутый конденсатор и вторая первичная обмотка образуют трехзвенную последовательную электрическую цепь, где первая и вторая первичные обмотки включены согласно, выводы конденсатора связаны с шинами питания через упомянутые первый, второй, третий и четвертый диоды, к первому выводу трехзвенной последовательной электрической цепи подключен второй вывод обмотки первого дросселя, а ко второму выводу трехзвенной последовательной электрической цепи подключен второй вывод обмотки второго дросселя, отличающийся тем, что в устройство введены дополнительные трансформатор и выпрямитель, первичная обмотка дополнительного трансформатора включена параллельно упомянутому конденсатору, а его вторичная обмотка через дополнительный выпрямитель подключена к конденсатору выходного фильтра, который шунтирован нагрузкой постоянного тока.1. A push-pull resonant DC-DC converter containing an electric circuit in the form of the first and second branches, each of which is connected between the power buses and is formed by two power switches connected in series with controlled direct conductivity and uncontrolled inverse conductivity, for example, field effect transistors, as well as in the form of the first and second chokes, and one terminal of the winding of the first of these chokes is connected to the connection point of the power switches of the first branch, and one terminal of the winding of the second inductor is connected to the point connecting the power switches of the second branch, as well as containing the first primary winding, magnetically connected to the secondary winding connected through an appropriate rectifier to the output filter capacitor, in parallel with which a DC load is connected, the second primary winding, magnetically connected to the secondary winding connected through the corresponding rectifier to an output filter capacitor, in parallel with which a DC load is connected, a capacitor, first, second, third and fourth diodes, the first I primary winding, the aforementioned capacitor and the second primary winding form a three-link serial electric circuit, where the first and second primary windings are switched on according to, the capacitor leads are connected to the power buses through the aforementioned first, second, third and fourth diodes; the second terminal of the winding of the first inductor, and the second terminal of the winding of the second inductor, different t m, which device additional transformer and a rectifier introduced, the primary winding of the additional transformer is connected in parallel to said capacitor, and its secondary winding via a further rectifier is connected to output filter capacitor which is shunted by a direct current load. 2. Двухтактный резонансный DC-DC преобразователь по п. 1, отличающийся тем, что первичные обмотки, связанные магнитно с вторичной обмоткой, подключенной к конденсатору выходного фильтра, параллельно которому включена нагрузка постоянного тока, объединены общим магнитопроводом, на котором размещена эта вторичная обмотка, и все перечисленные обмотки вместе с магнитопроводом образуют единый трансформатор.2. A push-pull resonant DC-DC converter according to claim 1, characterized in that the primary windings magnetically connected to the secondary winding connected to the output filter capacitor, in parallel with which a DC load is connected, are combined by a common magnetic circuit on which this secondary winding is located, and all of these windings together with the magnetic circuit form a single transformer. 3. Двухтактный резонансный преобразователь напряжения по п. 1, отличающийся тем, что каждой первичной обмотке и вторичной обмотке, связанной с ней магнитно, соответствует отдельный магнитопровод, который вместе с размещенными на нем обмотками образуют отдельный трансформатор.3. The push-pull resonant voltage converter according to claim 1, characterized in that each primary winding and the secondary winding connected magnetically have a separate magnetic circuit, which together with the windings placed on it, form a separate transformer.
RU2019116302A 2019-05-27 2019-05-27 Two-cycle resonance dc-dc converter RU2717232C1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
RU2019116302A RU2717232C1 (en) 2019-05-27 2019-05-27 Two-cycle resonance dc-dc converter

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
RU2019116302A RU2717232C1 (en) 2019-05-27 2019-05-27 Two-cycle resonance dc-dc converter

Publications (1)

Publication Number Publication Date
RU2717232C1 true RU2717232C1 (en) 2020-03-19

Family

ID=69898693

Family Applications (1)

Application Number Title Priority Date Filing Date
RU2019116302A RU2717232C1 (en) 2019-05-27 2019-05-27 Two-cycle resonance dc-dc converter

Country Status (1)

Country Link
RU (1) RU2717232C1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2749280C1 (en) * 2020-11-02 2021-06-08 Акционерное общество "Научно-производственный центр "Полюс" Resonant dc voltage converter with increased reliability and efficiency

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5208738A (en) * 1990-12-13 1993-05-04 Northern Telecom Limited Constant frequency resonant DC/DC converter
RU5054U1 (en) * 1996-07-23 1997-09-16 Бахтияр Газиевич Шамсиев DEVICE FOR CONSTANT VOLTAGE VOLTAGE TO SINUSOIDAL VOLTAGE OF LOW FREQUENCY WITH INTERMEDIATE CONVERTER AT HIGH FREQUENCY
US7154763B2 (en) * 2004-08-02 2006-12-26 Flying Mole Corporation Push-pull switching power converter
RU2010118703A (en) * 2010-05-12 2011-11-20 Закрытое акционерное общество "Связь инжиниринг" (RU) TWO-WAY BRIDGE CONVERTER

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5208738A (en) * 1990-12-13 1993-05-04 Northern Telecom Limited Constant frequency resonant DC/DC converter
RU5054U1 (en) * 1996-07-23 1997-09-16 Бахтияр Газиевич Шамсиев DEVICE FOR CONSTANT VOLTAGE VOLTAGE TO SINUSOIDAL VOLTAGE OF LOW FREQUENCY WITH INTERMEDIATE CONVERTER AT HIGH FREQUENCY
US7154763B2 (en) * 2004-08-02 2006-12-26 Flying Mole Corporation Push-pull switching power converter
RU2010118703A (en) * 2010-05-12 2011-11-20 Закрытое акционерное общество "Связь инжиниринг" (RU) TWO-WAY BRIDGE CONVERTER
RU2455746C2 (en) * 2010-05-12 2012-07-10 Закрытое акционерное общество "Связь инжиниринг" Two-stroke bridge converter

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2749280C1 (en) * 2020-11-02 2021-06-08 Акционерное общество "Научно-производственный центр "Полюс" Resonant dc voltage converter with increased reliability and efficiency

Similar Documents

Publication Publication Date Title
US10833594B2 (en) System and method of controlling a power converter having an LC tank coupled between a switching network and a transformer winding
Li et al. Pulse density modulation for maximum efficiency point tracking of wireless power transfer systems
US10284099B2 (en) Hybrid power converters combining switched-capacitor and transformer-based stages
CA2958694C (en) System architecture for battery charger based on gan-based power devices
US7746670B2 (en) Dual-transformer type of DC-to-DC converter
US6771518B2 (en) DC converters
US11011936B2 (en) Single-stage transmitter for wireless power transfer
Zhu et al. A family of transformerless stacked active bridge converters
US20220407426A1 (en) Power converter and method for controlling power converter
US10601327B2 (en) Isolated DC/DC converter and method for converting voltage with an isolated DC/DC converter
KR20140096260A (en) Double rectifier for multi-phase contactless energy transfer system
RU2455746C2 (en) Two-stroke bridge converter
RU2717232C1 (en) Two-cycle resonance dc-dc converter
KR100874809B1 (en) Three-level dc-dc converter using zero voltage and zero current switching
RU2510864C1 (en) Bridge voltage converter
JP5599911B2 (en) Common core power factor improved resonant converter
RU2635364C2 (en) Push-pull dc/dc converter
US11569757B2 (en) System for transferring electrical power to an electrical load
CN111903048B (en) Inverter
RU2717234C1 (en) Two-stroke resonance voltage converter
Jiang et al. Bidirectional high-frequency inductive power transfer systems based on differential load-independent class e converters
CN114930703A (en) DC power converter
KR100998064B1 (en) DC-DC converter using leakage inductor for Zero Current Swithching
RU2741969C1 (en) Single-cycle voltage converter
RU2742290C1 (en) Two-stroke dc-dc converter with throttle in supply circuit