KR102274206B1 - 이중층 그래핀의 제조 방법 - Google Patents

이중층 그래핀의 제조 방법 Download PDF

Info

Publication number
KR102274206B1
KR102274206B1 KR1020190142245A KR20190142245A KR102274206B1 KR 102274206 B1 KR102274206 B1 KR 102274206B1 KR 1020190142245 A KR1020190142245 A KR 1020190142245A KR 20190142245 A KR20190142245 A KR 20190142245A KR 102274206 B1 KR102274206 B1 KR 102274206B1
Authority
KR
South Korea
Prior art keywords
graphene
layer
catalyst substrate
forming
substrate
Prior art date
Application number
KR1020190142245A
Other languages
English (en)
Other versions
KR20210055903A (ko
Inventor
김명종
이헌수
장세규
안석훈
류재현
Original Assignee
한국과학기술연구원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한국과학기술연구원 filed Critical 한국과학기술연구원
Priority to KR1020190142245A priority Critical patent/KR102274206B1/ko
Publication of KR20210055903A publication Critical patent/KR20210055903A/ko
Application granted granted Critical
Publication of KR102274206B1 publication Critical patent/KR102274206B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/182Graphene
    • C01B32/184Preparation
    • C01B32/186Preparation by chemical vapour deposition [CVD]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/70Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of the iron group metals or copper
    • B01J23/72Copper
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J23/00Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00
    • B01J23/70Catalysts comprising metals or metal oxides or hydroxides, not provided for in group B01J21/00 of the iron group metals or copper
    • B01J23/74Iron group metals
    • B01J23/755Nickel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J37/00Processes, in general, for preparing catalysts; Processes, in general, for activation of catalysts
    • B01J37/34Irradiation by, or application of, electric, magnetic or wave energy, e.g. ultrasonic waves ; Ionic sputtering; Flame or plasma spraying; Particle radiation
    • B01J37/341Irradiation by, or application of, electric, magnetic or wave energy, e.g. ultrasonic waves ; Ionic sputtering; Flame or plasma spraying; Particle radiation making use of electric or magnetic fields, wave energy or particle radiation
    • B01J37/347Ionic or cathodic spraying; Electric discharge
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B2204/00Structure or properties of graphene
    • C01B2204/04Specific amount of layers or specific thickness

Abstract

개시된 이중층 그래핀의 제조 방법은, 촉매 기판을 준비하는 단계, 상기 촉매 기판 위에 탄소 소스를 제공하여 제1 그래핀층을 형성하는 단계, 플라즈마 스퍼터링을 통해 상기 제1 그래핀층에 확산 사이트를 형성하는 단계 및 상기 확산 사이트를 통해 상기 제1 그래핀층과 상기 촉매 기판 사이에 탄소 소스를 제공하여 제2 그래핀층을 형성하는 단계를 포함한다.

Description

이중층 그래핀의 제조 방법{METHOD FOR FORMING BI-LAYER GRAPHENE}
본 발명은 그래핀의 제조 방법에 관한 것으로, 보다 자세하게는, 균질한 대면적 이중층 그래핀의 제조 방법에 관한 것이다.
그래핀(graphene)은 탄소의 동소체 중 하나이며 탄소 원자들이 육각형으로 연결된 2차원 평면을 이루고 있는 구조이다. 그래핀이 주목받는 이유는 높은 캐리어 이동도, 높은 열전도성, 높은 영계수 및 높은 투명도를 가지고 있어, 그래핀은 차세대 신소제로 각광받는 탄소나노튜브를 뛰어넘는 전자소재로 평가받고 있다. 그러나, 단층 그래핀의 경우, 전류의 흐름을 마음대로 제어할 수 있는 성질인 밴드갭이 없어 전류를 원하는 대로 제어할 수 있어야하는 전자소자로서 사용하는데 많은 한계가 있다. 이를 해결하기 위해 전자구속효과 및 화학적 기능화를 이용해 밴드갭을 갖는 그래핀 제조법이 연구되었으나, 상기 방법의 경우 식각 및 도핑을 통한 그래핀의 물리적 손상 및 결함 발생으로 그래핀의 전기적 특성이 저하된다는 단점이 있다.
이에 반해 이중층 그래핀의 경우 두 개의 그래핀이 적층된 구조로서, 그래핀의 물리적 손상 및 결함 생성 없이 이중층 그래핀에 수직방향의 전기장을 걸어줄 경우, 전자 분극이 발생해 전자 밴드갭이 만들어질뿐더러 밴드갭 또한 조절 할 수 있다.
이러한 밴드갭 형성 및 제어가 가능한 이중층 그래핀의 경우 반도체 및 디스플레이와 같은 전자소자 응용분야 뿐만 아니라, 배리어소재, 방열소재, 투명전극 등에 널리 활용될 수 있다.
현재 그래핀을 제조하기 위한 방법은 다양하게 알려져 있으며, 그 중 이중층 그래핀 또는 다층 그래핀은 스카치테이프를 이용한 기계적 박리를 통해 제작할 수 있으나, 상기방법을 통해 제작된 그래핀의 경우 품질을 우수하나 대면적 제작에 어려움이있고, 화학적 박리방법의 경우, 대량 제작이 가능하지만, 제작 중 화학반응으로 인해 불가피하게 결함이 발생한다는 단점이 있다. 따라서, 이중층 그래핀 혹은 다층 그래핀의 상용화를 위해서는, 대면적 연속적인 다층 그래핀을 합성할 수 있는 기술이 필수적으로 요구된다.
1. 한국 공개특허공보 KR 제10-2015-0084785호 (2015.06.16.) 2. 한국 등록특허공보 KR 제10-2014-0092526호 (2014.07.22.) 3. 한국 공개특허공보 KR 제10-2014-0010888호 (2014.01.28.)
본 발명의 기술적 과제는 이러한 점에서 착안된 것으로, 균일한 대면적의 이중층 그래핀의 제조 방법을 제공하는 것이다.
상기한 본 발명의 목적을 실현하기 위한 실시예에 따른 이중층 그래핀의 제조 방법은, 촉매 기판을 준비하는 단계, 상기 촉매 기판 위에 탄소 소스를 제공하여 제1 그래핀층을 형성하는 단계, 플라즈마 스퍼터링을 통해 상기 제1 그래핀층에 확산 사이트를 형성하는 단계 및 상기 확산 사이트를 통해 상기 제1 그래핀층과 상기 촉매 기판 사이에 탄소 소스를 제공하여 제2 그래핀층을 형성하는 단계를 포함한다.
일 실시예에 따르면, 상기 촉매 기판은, 구리(Cu), 니켈(Ni), 철(Fe), 백금(Pt), 알루미늄(Al), 코발트(Co), 루테늄(Ru), 팔라듐(Pd), 크롬(Cr), 망간(Mn), 금(Au), 몰리브덴(Mo), 로듐(Rh), 탄탈륨(Ta), 타이타늄(Ti), 텅스텐(W), 우라늄(U), 바나듐(V), 지르코늄(Zr), 보론(B) 및 이리듐(Ir)으로 이루어진 그룹에서 선택된 적어도 하나를 포함한다.
일 실시예에 따르면, 상기 촉매 기판은 구리-니켈 합금을 포함한다.
일 실시예에 따르면, 상기 촉매 기판을 준비하는 단계는, 제1 금속층 위에 상기 제1 금속층과 다른 물질을 포함하는 제2 금속층을 형성하는 단계 및 상기 제1 금속층과 상기 제2 금속층을 수소 플라즈마 환경에서 열처리하여 합금화하는 단계를 포함한다.
일 실시예에 따르면, 상기 합금화 단계의 열처리는 1분 내지 2 시간 동안 수행되고, 열처리 온도는 500℃ 내지 1,200℃이다.
일 실시예에 따르면, 상기 확산 사이트는 아르곤 플라즈마에 의해 형성된다.
일 실시예에 따르면, 상기 확산 사이트는 탄소 소스 플라즈마에 의해 형성된다.
일 실시예에 따르면, 상기 제1 그래핀층을 형성하는 단계에서의 탄소 소스의 유량보다 상기 확산 사이트를 형성하는 단계에서의 탄소 소스의 유량이 크다.
일 실시예에 따르면, 상기 제1 그래핀을 형성하는 단계에서 탄소 소스의 유량은 5 sccm 미만이고, 상기 확산 사이트를 형성하는 단계에서의 탄소 소스의 유량은 5 sccm 이상이다.
일 실시예에 따르면, 상기 탄소 소스는 일산화탄소, 이산화탄소, 메탄, 에탄, 에틸렌, 메탄올, 에탄올, 아세틸렌, 프로판, 프로필렌, 부탄, 부타디엔, 펜탄, 펜텐, 사이클로펜타디엔, 헥산, 사이클로헥산, 벤젠 및 톨루엔으로 이루어진 그룹에서 선택된 적어도 하나를 포함한다.
일 실시예에 따르면, 상기 제1 그래핀층 및 상기 제2 그래핀층은, 플라즈마강화 화학기상증착(plasma-enhanced chemical vapor deposition, PECVD)을 통해 형성된다.
일 실시예에 따르면, 상기 제1 그래핀층 및 상기 제2 그래핀층은, 500℃ 내지 1,200℃의 온도 범위에서 5분 내지 120분 동안 10W 내지 100W의 전력의 플라즈마를 인가하여 형성된다.
일 실시예에 따르면, 상기 제1 그래핀층 및 상기 제2 그래핀층을 형성하는 단계에서는, 적어도 수소 가스를 포함하는 공정 가스가 이용된다.
일 실시예에 따르면, 상기 이중층 그래핀의 제조 방법은, 상기 제1 그래핀층과 상기 제2 그래핀층을 포함하는 이중층 그래핀 위에 고분자 보호막을 형성하는 단계, 상기 촉매 기판을 제거하는 단계, 상기 이중층 그래핀과 전사 기판을 결합하는 단계 및 상기 고분자 보호막을 제거하는 단계를 더 포함한다.
일 실시예에 따르면, 상기 촉매 기판을 제거하는 단계는 증발법 또는 습식 식각에 의해 수행된다.
일 실시예에 따르면, 상기 고분자 보호막을 형성하는 단계는, 용매 및 고분자를 포함하는 고분자 조성물을 코팅하는 단계 및 상기 고분자 조성물을 베이킹하여 상기 용매를 제거하는 단계를 포함한다.
일 실시예에 따르면, 상기 이중층 그래핀의 제조 방법은, 상기 제2 그래핀층을 형성한 후, 상기 촉매 기판 후면에 형성된 그래핀을 제거하기 위하여 건식 식각을 수행하는 단계를 더 포함한다.
본 발명에 따르면, 탄소 소스 또는 공정 가스의 플라즈마 스퍼터링을 이용하여, 균질하며, 결함이 억제되며, 대면적의 구현이 가능한 이중층 그래핀을 얻을 수 있다.
도 1a 내지 도 1d는 본 발명의 일 실시예에 따른 이중층 그래핀의 제조 방법을 도시한 개략적 단면도들이다.
도 2a 내지 도 2d는 본 발명의 일 실시예에 따라 합성된 이중층 그래핀을 전사하는 방법을 도시한 개략적 단면도들이다.
도 3은 실시예 1에 따라 합성된 그래핀을 단계별로 SiO2/Si 웨이퍼 기판위에 전사한 사진이다.
도 4는 실시예 1에서 합성된 그래핀을 SiO2/Si 웨이퍼 기판위에 전사하고, 라만 분광을 이용하여 그래핀의 격자 진동 산란 모드 결과를 측정한 결과를 나타내는 그래프이다.
도 5는 실시예 1에서 합성된 그래핀을 SiO2/Si 웨이퍼 기판위에 전사하고, 임의의 100개의 포인트의 라만 분광을 촬영하여 분석한 결과를 나타내는 그래프이다.
도 6은 실시예 1에서 합성된 그래핀을 SiO2/Si 웨이퍼 기판위에 전사한 TEM 사진이다.
본 출원에서, 제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다. 예를 들어, 본 발명의 권리 범위를 벗어나지 않으면서 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다.
본 출원에서 사용한 용어는 단지 특정한 실시 예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서 상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
이중층 그래핀의 제조 방법
도 1a 내지 도 1d는 본 발명의 일 실시예에 따른 이중층 그래핀의 제조 방법을 도시한 개략적 단면도들이다.
본 발명의 일 실시예에 따른 이중층 그래핀의 제조 방법은 촉매 기판을 준비하는 단계, 상기 촉매 기판 위에 탄소 소스를 제공하여 제1 그래핀층을 형성하는 단계, 플라즈마 스퍼터링을 통해 상기 제1 그래핀층에 확산 사이트를 형성하는 단계 및 상기 확산 사이트를 통해 상기 제1 그래핀층과 상기 촉매 기판 사이에 탄소 소스를 제공하여 제2 그래핀층을 형성하는 단계를 포함한다. 상기 각 단계들의 구분은 설명을 위한 것일 수 있으며, 상기 각 단계들은 실질적으로 하나의 공정에서 수행될 수 있다. 특히, 상기 확산 사이트의 형성과 상기 제2 그래핀층의 형성은 실질적으로 동시에 일어날 수 있다.
예를 들어, 도 1a를 참조하면, 촉매 기판(10) 위에 제1 그래핀층(20)을 형성한다.
상기 촉매 기판(10)은 그래핀 성장을 위한 촉매 역할을 할 수 있다. 예를 들어, 상기 촉매 기판(10)은 탄소를 잘 흡착할 수 있는 금속을 포함할 수 있다. 예를 들어, 상기 촉매 기판(10)의 두께는 20nm 내지 50㎛일 수 있다.
일 실시예에 따르면, 상기 촉매 기판(10)은 단층 혹은 다층 구조를 갖는 합금화 금속층일 수 있다. 예를 들어, 상기 촉매 기판(10)은, 소정의 면적을 가진 판(plate)상의 얇은 호일(foil) 형태, 기판 위에 형성시킨 박막 형태, 또는 패턴화된 구조를 가질 수 있으나, 이에 한정되지는 않으며 이 외에도 다양한 형태를 가질 수 있다. 예를 들어, 상기 촉매 기판은, 구리(Cu), 니켈(Ni), 철(Fe), 백금(Pt), 알루미늄(Al), 코발트(Co), 루테늄(Ru), 팔라듐(Pd), 크롬(Cr), 망간(Mn), 금(Au), 몰리브덴(Mo), 로듐(Rh), 탄탈륨(Ta), 타이타늄(Ti), 텅스텐(W), 우라늄(U), 바나듐(V), 지르코늄(Zr), 보론(B), 이리듐(Ir) 또는 이들의 조합을 포함할 수 있다.
상기 촉매 기판(10)은, 베이스 기재 이에 금속 포일(foil)을 라미네이트하거나, 금속 액상화, 전자빔 증발 증착법(electron-beam evaporation deposition), 열 증발 증착법(thermal evaporation deposition), 레이저분자빔 증착법(laser molecular beam epitaxy, L-MBE), 펄스레이저증착법(pulsed laser deposition, PLD), 전기도금법(electro-plating), 스퍼터링법(sputtering) 등을 이용하여 형성될 수 있다.
상기 촉매 기판(10) 및 상기 베이스 기재는, 이중층 그래핀을 합성하고 이를 지지하기 위한 것일 수 있다. 또한, 합성된 이중층 그래핀을 타겟 기판에 전사하기 위한 성장 기판일 수 있다. 상기 촉매 기판(10)이 패턴화된 구조를 갖는 경우, 상기 촉매 기판(10)은 전극 등과 같은 전자 소자의 구성 요소로 사용될 수 있다.
상기 베이스 기재는 전자 소자에 활용될 수 있는 적절한 소재를 포함할 수 있다. 예를 들어, 상기 베이스 기재의 예는, 사파이어(Al2O3) 기판, Si 기판, Si/SiO2 기판, SOI기판, 폴리에틸렌 테레프탈레이트(polyethylene terephthalate, PET) 기판, 폴리에틸렌 나프탈레이트(polyethylene naphthalate, PEN) 기판, 폴리이미드(polyimide) 기판, 폴리카보네이트(polycarbonate) 기판, 폴리메틸메타크릴레이트(polymethylmethacrylate, PMMA) 기판, 유연 기판, 금속 기판 또는 이들의 조합을 포함할 수 있으나, 본 발명의 실시예들은 이에 한정되지 않으며 이 외에도 사용 가능한 다양한 기판을 포함할 수 있다.
일 실시예에 따르면, 합금화된 촉매 기판(10)을 형성하기 위하여, 제1 금속을 포함하는 제1 금속층을 형성한다. 상기 제1 금속층 위에 제2 금속을 포함하는 제2 금속층을 형성한다. 상기 다층 금속층을 플라즈마가 동반된 열처리하여, 함금화된 촉매 기판을 얻는다. 일 실시예에 따르면, 상기 제1 금속층은 구리를 포함할 수 있고, 상기 제1 금속층은 니켈을 포함할 수 있다. 따라서, 상기 촉매 기판은 구리-니켈 합금을 포함할 수 있다. 예를 들어, 상기 구리층 위에 증착되는 니켈층의 두께는 5nm 내지 20nm일 수 있다.
이중층 그래핀을 균일하게 형성하기 위하여 상기 촉매 기판(10)은 구리-니켈의 합금을 포함하는 것이 바람직할 수 있다. 구리를 단독 촉매로 이용할 경우, 촉매 활성이 낮아짐으로써, 이중층 그래핀이 부분적으로 형성되어 균일도가 저하될 수 있다.
상기 플라즈마가 동반된 열처리를 통해 합금화가 이루어지고, 표면 조도가 개선될 수 있으며, 표면의 불순물이 제거될 수 있다. 또한, 상기 열처리는 수소 환경에서 진행되는 것이 바람직할 수 있다. 수소 플라즈마 분위기에서 원자의 이행(migration)이 촉진될 수 있다.
예를 들어, 상기 열처리는 1분 내지 2 시간 동안 수행될 수 있으며, 열처리 온도는 500℃ 내지 1,200℃일 수 있다.
다른 실시예에 따르면, 상기 촉매 기판(10)을 클리닝하기 위하여 용액 공정이 수행될 수 있다. 예를 들어, 상기 촉매 기판(10)은 금속 에쳔트(metal etchant), 아세톤(acetone), 에탄올(ethanol), 메탄올(methanol), 이소프로판올(isopropanol) 또는 이들의 조합에 의해 클리닝될 수 있다.
예를 들어, 상기 제1 그래핀층(20)은 화학기상증착법(chemical vapor deposition, CVD), 고온 화학기상증착(rapid thermal chemical vapor deposition, RTCVD), 유도결합 플라즈마 화학기상증착(inductively coupled plasma-chemical vapor deposition, ICP-CVD), 저압 화학기상증착(low pressure chemical vapor deposition, LPCVD), 상압 화학기상증착(atmospheric pressure chemical vapor deposition, APCVD), 금속유기 화학기상증착(metal organic chemical vapor deposition, MOCVD) 또는 플라즈마강화 화학기상증착(plasma-enhanced chemical vapor deposition, PECVD)을 통해 형성될 수 있다.
일 실시예에 따르면, 상기 제1 그래핀층(20)은 플라즈마강화 화학기상증착 또는 유도결합 플라즈마 화학기상증착법을 이용하여 형성될 수 있다.
상기 플라즈마강화 화학기상증착법(또는 유도결합 플라즈마 화학기상증착법)은, 일반적으로 증착을 원하는 원료(소스)물질을 배치한 기관 또는 물질에 플라즈마 및 열원을 이용하여 에너지를 가해 상기 원료물질이 운동에너지를 갖게 하고, 이에, 상기 원료물질을 활성화 하여 타겟 대상에 그래핀을 성장시키는 것일 수 있다. 즉, 상기 원료물질에 에너지를 공급함에 따라 반응 물질이 활성화 되어 변화된 원료물질이 타겟 대상으로 날아가 닿으면서, 타겟 대상의 표면에 쌓이거나 화학적 반응을 하여 그래핀이 성장되는 것일 수 있다. 상기 플라즈마강화 화학기상증착법(또는 유도결합 플라즈마 화학기상증착법)은, 활성화된 원료물질이 타겟 대상으로 날아가는 동안 다른 기체분자들에 영향을 받지 않게 하기 위하여 저압 또는 진공분위기를 조성하는 것이 필요할 수 있다. 따라서, 필요에 따라 별도의 진공장치가 수반될 수 있다.
일 실시예에 따르면, 상기 촉매 기판(10) 상에 탄소 소스를 공급하여 탄소환원으로부터 그래핀을 성장시킬 수 있다. 예를 들어, 500℃ 내지 1,200℃의 온도범위에서 5분 내지 120분 동안 10W 내지 100W의 전력의 플라즈마를 인가하여 단층 그래핀이 성장될 수 있다.
상기 탄소 소스의 종류에 따라 상기 그래핀의 증착 온도가 변화될 수 있다. 구체적으로, 상기 탄소 소스는 일산화탄소, 이산화탄소, 메탄, 에탄, 에틸렌, 메탄올, 에탄올, 아세틸렌, 프로판, 프로필렌, 부탄, 부타디엔, 펜탄, 펜텐, 사이클로펜타디엔, 헥산, 사이클로헥산, 벤젠 및 톨루엔 중에서 선택되는 적어도 어느 하나의 물질을 포함할 수 있다. 일 실시예에 따르면, 상기 탄소 소스는 메탄, 에탄, 프로판, 부탄 등과 같은 하이드로 카본을 포함할 수 있다.
또한, 상기 제1 그래핀층(20)을 증착할 때, 탄소 소스의 종류에 따라 열처리가 수반될 수 있다. 예를 들어, 상기 열처리는 마이크로파(microwave), 자외선(ultraviolet), 플라즈마(plasma), 레이저(laser) 및 가열기(heater) 중에서 선택되는 적어도 어느 하나의 열원을 이용할 수 있으나, 본 발명의 실시예들은 이에 한정되지 않으며 이 외에도 다양한 열원이 이용될 수 있다.
상기 증착 공정에 사용되는 탄소 소스는 다른 공정 가스와 혼합될 수 있다. 예를 들어, 상기 공정 가스는, 수소, 질소, 암모니아, 아르곤, 헬륨 또는 이들의 조합을 포함할 수 있다. 상기 공정 가스는, 역반응 억제 등을 위하여 둘 이상의 가스의 조합을 포함할 수 있다. 예를 들어, 상기 공정 가스는 아르곤/수소, 아르곤/질소, 아르곤/암모니아, 아르곤/헬륨 혼합물 등을 포함하는 것이 바람직할 수 있다.
일 실시예에 따르면, 상기 공정 가스는 적어도 수소를 포함할 수 있다. 상기 수소 가스 없이 그래핀을 합성하는 경우, 비정질 그래핀이 과도하게 증가하거나 결정성이 저하될 수 있다. 또한, 상기 수소 가스의 유량이 과다한 경우, 그래핀이 에칭되거나 성장 속도가 크게 저하될 수 있다.
상기 그래핀 박막의 합성 시 반응 챔버 내의 압력은 1 mtorr 내지 760 mtorr 압력범위 내로 유지되는 것이 바람직하다.
상기 제1 그래핀층(20)의 합성 시, 그래핀의 결점을 최소화하고, 균질도를 향상하는 것이 바람직하다. 이를 위하여, 탄소 소스 양을 감소시키고 불활성 기체 등을 포함하는 공정 가스의 양을 증가하여 핵생성을 최소화 하여 각각의 그래핀 도메인 밀도를 낮추고 이로 인해 도메인 크기를 증가시키는 것이 바람직할 수 있다.
상기 제1 그래핀층(20)은, 전체적으로 단층(모노레이어) 구조를 가질 수 있다. 또한, 상기 제1 그래핀층(20)은 부분적으로 이중층과 같은 다층 구조를 가질 수 있다.
도 1b를 참조하면, 상기 제1 그래핀층(20)에 확산 사이트를 형성한다. 예를 들어, 상기 확산 사이트는 플라즈마 스퍼터링에 의해 형성될 수 있다. 일 실시예에 따르면, 메탄 가스 등과 같은 탄소 소스의 유량을 증가시킬 경우, 플라즈마 스퍼터링이 촉진되어 제1 그래핀층(20)의 그래핀이 부분적으로 제거되어 상기 촉매 기판(10)이 노출될 수 있다. 따라서, 도 1c에 도시된 것과 같이, 상기 제1 그래핀층(20)과 상기 촉매 기판(10) 사이에 탄소 소스가 제공됨으로써, 상기 제1 그래핀층(20)과 상기 촉매 기판(10) 사이에 제2 그래핀층(30)이 합성될 수 있다. 상기의 제2 그래핀층(30)의 합성 및 상기 제1 그래핀층(20)의 힐링(스티칭)이 진행됨으로써, 도 1d에 도시된 것과 같이, 그래핀 이중층이 얻어질 수 있다.
상기 플라즈마 스퍼터링 효과를 촉진하기 위하여, 아르곤 등과 같이 원자량이 상대적으로 큰 불활성 기체를 공정 가스에 추가할 수도 있다.
본 발명의 일 실시예에 따르면, 탄소 소스의 유량을 조절함으로써, 공정 가스의 변경 없이 제1 그래핀층(20)과 제2 그래핀층(30)의 합성을 연속적으로 수행할 수 있다.
예를 들어, 상기 탄소 소스의 유량이 5 sccm 미만의 범위에서 모노레이어의 합성이 유리하게 일어날 수 있으며, 상기 탄소 소스의 유량이 5 sccm 이상의 범위에서 스퍼터링에 의한 확산 사이트의 형성 및 이중층 합성이 진행될 수 있다. 예를 들어, 상기 제1 그래핀층(20)을 형성하는 과정에서 상기 탄소 소스의 유량은 0.5 sccm 내지 3 sccm 일 수 있으며, 상기 제2 그래핀층(30)을 형성하는 과정에서 상기 탄소 소스의 유량은 5 sccm 내지 20 sccm 일 수 있다. 예를 들어, 상기 탄소 소스는 수소 가스와 함께 제공될 수 있으며, 상기 수소 가스의 유량은 10 sccm 내지 100 sccm일 수 있다.
도 2a 내지 도 2d는 본 발명의 일 실시예에 따라 합성된 이중층 그래핀을 전사하는 방법을 도시한 개략적 단면도들이다. 상기 이중층 그래핀의 합성에 이용된 촉매 기판은 제거되거나, 상기 이중층 그래핀으로부터 분리되어 상기 이중층 그래핀은 다른 기판으로 전사될 수 있다. 상기 과정은 상기 이중층 그래핀을 다른 기판에 전사하여 전자 소자 등에 활용하기 위한 것이다. 상기 촉매 기판이 전자 소자의 구성 요소로 활용되는 경우, 상기 분리 단계는 생략될 수 있다.
도 2a를 참조하면, 상기 이중층 그래핀 위에 보호막(40)을 형성한다.
상기 보호막(40)은 고분자를 포함할 수 있다. 예를 들어, 상기 보호막(40)은 폴리메틸메타크릴레이트(Polymethylmethacrylate), 폴리다이메틸실록세인(polydimethylsiloxane), 폴리비닐알코올(polyvinyl alcohol), 폴리비닐피롤리돈(polyvinylpyrrolidone) 등을 포함할 수 있으나, 이에 한정되지 않는다.
상기 이중층 그래핀 위에 보호막(40)을 형성하기 위하여, 용매 및 고분자를 포함하는 고분자 조성물이 코팅될 수 있다. 예를 들어, 상기 고분자 조성물을 코팅하는 방법으로는 스핀 코팅(spin coating), 스프레이 코팅(spray coating), 드랍 코팅(drop coating), 바 코팅(bar coating) 또는 딥코팅(dip coating) 등이 사용하는 것일 수 있으나, 이에 한정되지 않는다.
상기 이중층 그래핀 위에 코팅된 고분자 조성물의 유기 용매를 제거하고, 고분자 조성물을 경화하기 위하여 베이킹이 수행될 수 있다. 예를 들어, 상기 베이킹 온도는 50 ℃ 내지 150 ℃ 일 수 있으며, 베이킹 시간은 1 분 내지 30 분 일 수 있다.
다음으로, 도 2b에 도시된 것과 같이, 촉매 기판(10)을 제거한다. 예를 들어, 상기 촉매 기판(10)을 선택적으로 제거하는 단계는, 증발법 또는 습식 식각을 통해 수행될 수 있다. 상기 증발법은 진공 하에서 열처리 과정을 통해 상기 촉매 기판을 선택적으로 증발시킬 수 있다.
상기 금속층을 습식 식각하기 위한 식각용액은, 예를 들어, 과황산암모늄((NH4)2S2O8), 각종 산(acid), 불화수소(HF), 염화철(FeCl3), 질산철 (Fe(NO3)3), 염화구리(CuCl2), 소듐퍼설페이트(Na2S2O8), 버퍼 산화 식각액(buffered oxide etchant, BOE) 또는 이들의 조합을 포함할 수 있다. 상기 산은, 수소이온을 생성사고, 염기와 중화하여 염을 만드는 물질로, 예를 들어, 질산, 염산, 인산, 초산, 또는 황산 등일 수 있다.
상기 촉매 기판(10)을 선택적으로 제거한 이후에, 상기 그래핀 상에 잔류하는 식각액을 제거하기 위하여 세정 공정을 추가적으로 수행할 수 있다. 상기 세정 공정은, 이소프로필알코올, 질산 식각액, 과산화수소 식각액, 크로메이트계 식각액, 옥손계 식각액, 페라이트계 식각액 등의 유기용액 또는 탈이온수를 사용하는 것일 수 있으나, 이에 한정되지는 않는다.
상기 촉매 기판(10)을 제거하기 전에, 상기 촉매 기판(10)의 후면, 즉 이중층 그래핀이 형성되지 않은 반대면에 형성된 그래핀을 제거하는 공정을 수행할 수 있다. 예를 들어, RIE(Reactive Ion Etching), ICP-RIE(Inductively Coupled Plasma RIE), ECR-RIE(Electron Cydotron Resonance RIE), RIBE(Reactive Ion Beam Etching) 또는 CAIBE(Chemical Assistant Ion Beam Etching) 등과 같은 건식 식각을 사용하여 후면 그래핀을 제거할 수 있다. 상기 후면 그래핀을 에칭하는 것이 촉매 기판의 제거 및 이중층 그래핀 품질에 영향을 미칠 수 있다.
그 다음으로, 상기 촉매 기판(10)이 제거된 이중층 그래핀을 전사 기판에 전사 할 수 있다. 예를 들어, 상기 전사 기판은 사파이어(Al2O3) 기판, Si 기판, Si/SiO2 기판, SOI기판, 폴리에틸렌 테레프탈레이트(polyethylene terephthalate, PET) 기판, 폴리에틸렌 나프탈레이트(polyethylene naphthalate, PEN) 기판, 폴리이미드(polyimide) 기판, 폴리카보네이트(polycarbonate) 기판, 폴리메틸메타크릴레이트(polymethylmethacrylate, PMMA) 기판, 유연 기판 또는 금속 기판일 수 있으나, 이에 한정되지는 않는다.
예를 들어, 도 2c 및 도 2d를 참조하면, 상기 이중층 그래핀과 전사 기판(50)을 결합한다. 상기 이중층 그래핀과 상기 전사 기판(50)은 접착제에 의해 결합되거나, 반데르발스 힘 등에 의해 별도 접착제 없이 접착될 수도 있다. 다음으로, 상기 보호막(40)을 제거한다. 상기 보호막(40)을 박리하기 위하여 아세톤 등과 같은 용매가 이용될 수 있다.
본 발명의 실시예들에 따르면, 탄소 소스 또는 공정 가스의 플라즈마 스퍼터링을 이용하여 균질한 대면적의 이중층 그래핀을 얻을 수 있다.
상기 이중층 그래핀은 촉매 기판과 함께 또는 상기 촉매 기판과 분리되어 전계효과 트랜지스터, 광/테라헤르츠 통신 전자소자, 디스플레이 소재 및 배리어 필름 등과 같은 다양한 전자 소자 및 전자 재료에 이용될 수 있다.
이하에서는, 구체적인 실시예를 통하여, 본 발명의 구체적인 실시예에 대하여 보다 상세하게 설명하기로 한다.
실시예 1 - 이중층 그래핀의 합성 및 전사
구리 기재 표면에 니켈 박막(두께 약 10nm)을 증착한 후, 반응기 내에 수소가스 40 sccm을 공급하고 반응기의 압력을 10 mtorr, 온도를 900 ℃로 조성하여 3분 정도 50W의 수소 플라즈마를 형성시켜 합금화 및 열처리를 진행했다. 이 후, 수소 가스를 유지한 채로 메탄 가스를 1 sccm을 공급하며 플라즈마 파워를 50W, 압력을 10 mtorr, 온도를 900 ℃를 6분간 유지하였다. 다음으로, 메탄 가스의 양을 늘려 메탄 10 sccm, 수소 40 sccm, 플라즈마파워 50W, 압력 10 mtorr, 온도 900 ℃로 20분을 동안 그래핀을 합성한 후, 상온으로 냉각시켰다.
상기 합금 기재 상에 형성된 그래핀 시료 표면위에 스핀 코터를 이용하여 3,000 rpm으로 30초 동안 PMMA를 코팅하였다. PMMA가 코팅된 그래핀 필름을 110℃에서 1분간 베이킹하였다. 다음으로, 그래핀이 성장된 합금 기재의 후면의 그래핀을 제거하기 위해 반응성 이온 에칭(Reactive-ion etching)을 통해 O2 플라즈마로 후면의 그래핀을 제거하였다. 다음으로, 합금 기재를 제거하기 위해 0.1M 과황산암모늄(Ammonium persulfate)용액에 4 시간 동안 띄어 높아 합금 기재를 제거하였다. 그래핀 필름에 남아있는 과황산화암모늄(Ammonium persulfate) 용액을 3차 증류수를 통해 없애고 300nm SiO2가 성장된 실리콘 기판에 전사하였다. 그래핀이 전사된 실리콘 기판을 상온에서 건조시킨 후 그래핀 위 PMMA를 제거하기 위해 50℃ 아세톤에 1시간 동안 담궈 PMMA를 제거한 후 3차 증류수로 기판에 남아있는 불순물을 제거 후 상온에서 건조시켰다.
도 3은 실시예 1에 따라 합성된 그래핀을 단계별로 SiO2/Si 웨이퍼 기판위에 전사한 사진이다.
도 3을 참조하면, 먼저 모노레이어 그래핀이 합성된 후, 플라즈마 스퍼터링 효과를 이용하여 모노레이어 그래핀과 합금 기재 사이에 탄소 소스를 공급함으로써, 효과적으로 균일한 이중층 그래핀이 합성되었음을 확인할 수 있다.
도 4는 실시예 1에서 합성된 그래핀을 SiO2/Si 웨이퍼 기판위에 전사하고, 라만 분광을 이용하여 그래핀의 격자 진동 산란 모드 결과를 측정한 결과를 나타내는 그래프이다. 상기 측정은 도 3에 도시된 Monolayer, Bilayer 및 Multilayer 포인트에 대하여 수행되었다.
도 4를 참조하면, D 피크가 매우 약하므로, 실시예 1에 따라 합성된 그래핀은 결함 밀도가 매우 적은 고품질의 그래핀임을 알 수 있다.
도 5는 실시예 1에서 합성된 그래핀을 SiO2/Si 웨이퍼 기판위에 전사하고, 임의의 100개의 포인트의 라만 분광을 촬영하여 분석한 결과를 나타내는 그래프이다. 도 5를 참조하면, 높은 순도로 자연 흑연에 가까운 상태로 적층된 이중층(AB stacked bilayer)이 얻어졌으며, 임의 방향으로 적층된 이중층(Disorientated bilayer) 또는 다층(3층 이상, Muiltilayer) 구조의 형성은 효과적으로 억제되었음을 알 수 있다.
도 6은 실시예 1에서 합성된 그래핀을 SiO2/Si 웨이퍼 기판위에 전사한 TEM 사진이다.
이상에서는 실시예들을 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
본 발명은, 전계효과 트랜지스터, 광/테라헤르츠 통신 전자소자, 디스플레이 소재 및 배리어 필름 등과 같은 다양한 전자 소자 및 전자 재료의 제조에 이용될 수 있다.

Claims (17)

  1. 촉매 기판을 준비하는 단계;
    상기 촉매 기판 위에 플라즈마 환경에서 탄소 소스를 제공하여 제1 그래핀층을 형성하는 단계;
    탄소 소스의 플라즈마 스퍼터링을 통해 상기 제1 그래핀층에 확산 사이트를 형성하는 단계; 및
    플라즈마 환경에서 상기 확산 사이트를 통해 상기 제1 그래핀층과 상기 촉매 기판 사이에 탄소 소스를 제공하여 제2 그래핀층을 형성하는 단계를 포함하고,
    상기 제1 그래핀층을 형성하는 단계에서 탄소 소스의 유량은 5 sccm 미만이고, 상기 확산 사이트를 형성하는 단계 및 상기 제2 그래핀층을 형성하는 단계에서의 탄소 소스의 유량은 5 sccm 이상이고,
    상기 제1 그래핀층 및 상기 제2 그래핀층을 형성하는 단계에서는, 적어도 수소 가스를 포함하는 공정 가스가 이용되며, 상기 수소 가스의 유량은 10 sccm 내지 100 sccm인 것을 특징으로 하는 이중층 그래핀의 제조 방법.
  2. 제1항에 있어서, 상기 촉매 기판은, 구리(Cu), 니켈(Ni), 철(Fe), 백금(Pt), 알루미늄(Al), 코발트(Co), 루테늄(Ru), 팔라듐(Pd), 크롬(Cr), 망간(Mn), 금(Au), 몰리브덴(Mo), 로듐(Rh), 탄탈륨(Ta), 타이타늄(Ti), 텅스텐(W), 우라늄(U), 바나듐(V), 지르코늄(Zr), 보론(B) 및 이리듐(Ir)으로 이루어진 그룹에서 선택된 적어도 하나를 포함하는 것을 특징으로 하는 이중층 그래핀의 제조 방법.
  3. 제2항에 있어서, 상기 촉매 기판은 구리-니켈 합금을 포함하는 것을 특징으로 하는 이중층 그래핀의 제조 방법.
  4. 제1항에 있어서, 상기 촉매 기판을 준비하는 단계는,
    제1 금속층 위에 상기 제1 금속층과 다른 물질을 포함하는 제2 금속층을 형성하는 단계; 및
    상기 제1 금속층과 상기 제2 금속층을 수소 플라즈마 환경에서 열처리하여 합금화하는 단계를 포함하는 것을 특징으로 하는 이중층 그래핀의 제조 방법.
  5. 제4항에 있어서, 상기 합금화 단계의 열처리는 1분 내지 2 시간 동안 수행되고, 열처리 온도는 500℃ 내지 1,200℃인 것을 특징으로 하는 이중층 그래핀의 제조 방법.
  6. 삭제
  7. 제1항에 있어서, 상기 확산 사이트는 탄소 소스 플라즈마에 의해 형성되는 것을 특징으로 하는 이중층 그래핀의 제조 방법.
  8. 삭제
  9. 삭제
  10. 제1항에 있어서, 상기 탄소 소스는 일산화탄소, 이산화탄소, 메탄, 에탄, 에틸렌, 메탄올, 에탄올, 아세틸렌, 프로판, 프로필렌, 부탄, 부타디엔, 펜탄, 펜텐, 사이클로펜타디엔, 헥산, 사이클로헥산, 벤젠 및 톨루엔으로 이루어진 그룹에서 선택된 적어도 하나를 포함하는 것을 특징으로 하는 이중층 그래핀의 제조 방법.
  11. 삭제
  12. 제1항에 있어서, 상기 제1 그래핀층 및 상기 제2 그래핀층은, 500℃ 내지 1,200℃의 온도 범위에서 5분 내지 120분 동안 10W 내지 100W의 전력의 플라즈마를 인가하여 형성되는 것을 특징으로 하는 이중층 그래핀의 제조 방법.
  13. 삭제
  14. 제1항에 있어서, 상기 제1 그래핀층과 상기 제2 그래핀층을 포함하는 이중층 그래핀 위에 고분자 보호막을 형성하는 단계;
    상기 촉매 기판을 제거하는 단계;
    상기 이중층 그래핀과 전사 기판을 결합하는 단계; 및
    상기 고분자 보호막을 제거하는 단계를 더 포함하는 것을 특징으로 하는 이중층 그래핀의 제조 방법.
  15. 제14항에 있어서, 상기 촉매 기판을 제거하는 단계는 증발법 또는 습식 식각에 의해 수행되는 것을 특징으로 하는 이중층 그래핀의 제조 방법.
  16. 제14항에 있어서, 상기 고분자 보호막을 형성하는 단계는,
    용매 및 고분자를 포함하는 고분자 조성물을 코팅하는 단계; 및
    상기 고분자 조성물을 베이킹하여 상기 용매를 제거하는 단계를 포함하는 것을 특징으로 하는 이중층 그래핀의 제조 방법.
  17. 제1항에 있어서, 상기 제2 그래핀층을 형성한 후, 상기 촉매 기판 후면에 형성된 그래핀을 제거하기 위하여 건식 식각을 수행하는 단계를 더 포함하는 것을 특징으로 하는 이중층 그래핀의 제조 방법.
KR1020190142245A 2019-11-08 2019-11-08 이중층 그래핀의 제조 방법 KR102274206B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020190142245A KR102274206B1 (ko) 2019-11-08 2019-11-08 이중층 그래핀의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190142245A KR102274206B1 (ko) 2019-11-08 2019-11-08 이중층 그래핀의 제조 방법

Publications (2)

Publication Number Publication Date
KR20210055903A KR20210055903A (ko) 2021-05-18
KR102274206B1 true KR102274206B1 (ko) 2021-07-08

Family

ID=76158664

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190142245A KR102274206B1 (ko) 2019-11-08 2019-11-08 이중층 그래핀의 제조 방법

Country Status (1)

Country Link
KR (1) KR102274206B1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115285982B (zh) * 2022-07-29 2024-04-26 西北有色金属研究院 一种单晶硅表面等离子辅助cvd制备石墨烯膜层的方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015189335A1 (en) 2014-06-12 2015-12-17 Centre National De La Recherche Scientifique - Cnrs - Aqueous and organic suspensions of exfoliated nanocarbon materials, method for making same and uses thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101244058B1 (ko) * 2010-04-15 2013-03-18 국립대학법인 울산과학기술대학교 산학협력단 층상 자기조립법을 이용한 그래핀 투명 박막의 제조방법
US20140014164A1 (en) 2012-07-12 2014-01-16 Samsung Sdi Co., Ltd. Connecting structure of solar cell modules
US9242362B2 (en) 2012-09-07 2016-01-26 Robert Bosch Gmbh Slide switch for a power tool
KR101442658B1 (ko) 2013-01-15 2014-09-24 서울시립대학교 산학협력단 대피시뮬레이션을 제공하는 재난대피 시스템 및 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015189335A1 (en) 2014-06-12 2015-12-17 Centre National De La Recherche Scientifique - Cnrs - Aqueous and organic suspensions of exfoliated nanocarbon materials, method for making same and uses thereof

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Appl. Phys. Lett. 102, 193111 (2013)
Carbon 156 (2020) 212-224*
Chem. Mater. 2014, 26, 2, 907-915*

Also Published As

Publication number Publication date
KR20210055903A (ko) 2021-05-18

Similar Documents

Publication Publication Date Title
KR101813172B1 (ko) 그래핀 다중층의 제조방법
JP5705315B2 (ja) グラフェンの低温製造方法、及びこれを利用したグラフェンの直接転写方法
US10184175B2 (en) Method for synthesizing multilayer graphene
KR100923304B1 (ko) 그라펜 시트 및 그의 제조방법
JP5105028B2 (ja) グラフェンを含む導電性薄膜および透明導電膜
US9187332B2 (en) Graphene sheet, graphene base including the same, and method of preparing the graphene sheet
Luo et al. Etching-controlled growth of graphene by chemical vapor deposition
US20120021224A1 (en) Graphene/graphene oxide platelet composite membranes and methods and devices thereof
US8709881B2 (en) Direct chemical vapor deposition of graphene on dielectric surfaces
KR101415237B1 (ko) 그래핀의 적층 방법, 그래핀 적층체, 및 이를 포함하는 소자
US20150136737A1 (en) Methods of growing uniform, large-scale, multilayer graphene film
KR101614322B1 (ko) 층수가 제어된 그래핀의 제조방법 및 그를 이용한 전자소자의 제조방법
KR20140114199A (ko) 이종 적층 구조체 및 그 제조방법, 및 상기 이종 적층 구조체를 구비하는 전기소자
KR101692514B1 (ko) 기재 위에 대면적, 단결정, 단일층의 h-BN 박막을 형성하는 방법 및 그로부터 제조된 h-BN 박막 적층체
KR102274206B1 (ko) 이중층 그래핀의 제조 방법
WO2014123319A1 (ko) 그래핀 필름의 제조 방법
JP2013159521A (ja) グラフェン膜の製造方法
US11097950B2 (en) Graphene fabrication method
Dai et al. Spatial confinement approach using ni to modulate local carbon supply for the growth of uniform transfer-free graphene monolayers
KR101723769B1 (ko) 그래핀의 직접 전사 방법 및 그래핀층 상의 선택적 원자층 증착 방법
KR20150130256A (ko) 이종 적층 구조체 및 그 제조방법, 및 상기 이종 적층 구조체를 구비하는 전기소자
KR101772011B1 (ko) 그래핀 적층 패턴 형성 방법
JP5196417B2 (ja) カーボンナノコイル製造用触媒およびカーボンナノコイルの製造方法
KR102270354B1 (ko) 단결정 이종 2차원 물질의 애피택셜 성장 방법 및 적층 구조체
JP2012020903A (ja) グラフェン及びグラファイト薄膜の作製方法、並びにグラフェン及びグラファイト薄膜基板の作製方法

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right