KR101219769B1 - Carbon nanostructured material pattern and manufacturing method of the same, and carbon nanostructured material thin film transistor and manufacturing method of the same - Google Patents

Carbon nanostructured material pattern and manufacturing method of the same, and carbon nanostructured material thin film transistor and manufacturing method of the same Download PDF

Info

Publication number
KR101219769B1
KR101219769B1 KR1020100080016A KR20100080016A KR101219769B1 KR 101219769 B1 KR101219769 B1 KR 101219769B1 KR 1020100080016 A KR1020100080016 A KR 1020100080016A KR 20100080016 A KR20100080016 A KR 20100080016A KR 101219769 B1 KR101219769 B1 KR 101219769B1
Authority
KR
South Korea
Prior art keywords
layer
graphene
metal catalyst
pattern
catalyst layer
Prior art date
Application number
KR1020100080016A
Other languages
Korean (ko)
Other versions
KR20110018851A (en
Inventor
정종완
Original Assignee
세종대학교산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세종대학교산학협력단 filed Critical 세종대학교산학협력단
Publication of KR20110018851A publication Critical patent/KR20110018851A/en
Application granted granted Critical
Publication of KR101219769B1 publication Critical patent/KR101219769B1/en

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82BNANOSTRUCTURES FORMED BY MANIPULATION OF INDIVIDUAL ATOMS, MOLECULES, OR LIMITED COLLECTIONS OF ATOMS OR MOLECULES AS DISCRETE UNITS; MANUFACTURE OR TREATMENT THEREOF
    • B82B3/00Manufacture or treatment of nanostructures by manipulation of individual atoms or molecules, or limited collections of atoms or molecules as discrete units
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1606Graphene
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/20Carbon compounds, e.g. carbon nanotubes or fullerenes

Abstract

본원은, 기판 상에 금속 촉매층을 형성하는 단계, 상기 금속 촉매층 상에 마스크층을 형성하는 단계, 상기 금속 촉매층 및 상기 마스크층을 식각하여 측면에 금속 촉매층이 노출되어 있는 주형 패턴을 형성하는 단계, 상기 주형 패턴의 금속 촉매층 측면에 탄소 나노구조물 층을 성장시키는 단계, 및 상기 주형 패턴의 금속 촉매층 및 마스크층의 일부 또는 전체를 제거하여 탄소 타노구조물 패턴을 형성하는 단계를 포함하는, 탄소 나노구조물 패턴의 제조 방법에 관한 것이다.
또한, 본원은 탄소 나노구조물 박막 트랜지스터 및 그의 제조 방법에 관한 것으로서, 누설 전류가 작으며 대형 기판 상에 대형 크기로 제조할 수 있으며 대량 생산이 가능한 것을 특징으로 하는 기판 상에 패턴된 금속 촉매층 측면을 따라 형성된 나노리본 형태의 탄소 나노구조물 층을 포함하는 탄소 나노구조물 박막 트랜지스터 및 그의 제조 방법에 관한 것이다.
Herein, forming a metal catalyst layer on a substrate, forming a mask layer on the metal catalyst layer, etching the metal catalyst layer and the mask layer to form a mold pattern having a metal catalyst layer exposed on the side, Growing a carbon nanostructure layer on the side of the metal catalyst layer of the template pattern, and removing a part or all of the metal catalyst layer and the mask layer of the template pattern to form a carbon nanostructure pattern, the carbon nanostructure pattern It relates to a method for producing.
In addition, the present application relates to a carbon nanostructure thin film transistor and a method for manufacturing the same, the side of the patterned metal catalyst layer on the substrate, characterized in that the leakage current is small and can be manufactured in a large size on a large substrate and mass production is possible. The present invention relates to a carbon nanostructure thin film transistor including a carbon nanostructure layer in the form of a nanoribbon formed thereon and a method of manufacturing the same.

Description

탄소 나노구조물 패턴 및 이의 제조 방법, 그리고 탄소 나노구조물 박막 트랜지스터 및 그의 제조 방법{CARBON NANOSTRUCTURED MATERIAL PATTERN AND MANUFACTURING METHOD OF THE SAME, AND CARBON NANOSTRUCTURED MATERIAL THIN FILM TRANSISTOR AND MANUFACTURING METHOD OF THE SAME}CARBON NANOSTRUCTURED MATERIAL PATTERN AND MANUFACTURING METHOD OF THE SAME, AND CARBON NANOSTRUCTURED MATERIAL THIN FILM TRANSISTOR AND MANUFACTURING METHOD OF THE SAME

본원은 탄소 나노구조물(carbon nanostructured material) 패턴 및 이의 제조 방법, 그리고 탄소 나노구조물 박막 트랜지스터 및 그의 제조 방법에 관한 것으로서, 구체적으로, 금속 촉매층 패턴의 측면에 선택적으로 탄소 나노구조물을 성장시키는 것을 포함하는 탄소 나노구조물 패턴의 제조 방법 및 상기 제조 방법에 의하여 제조되는 탄소 나노구조물 패턴, 상기 탄소 나노구조물 패턴 층을 포함하는 탄소 나노구조물 박막 트랜지스터 및 그의 제조 방법에 관한 것이다.The present invention relates to a carbon nanostructured material pattern and a method for manufacturing the same, and a carbon nanostructure thin film transistor and a method for manufacturing the same, and specifically, comprising selectively growing carbon nanostructure on the side of a metal catalyst layer pattern. The present invention relates to a method of manufacturing a carbon nanostructure pattern, a carbon nanostructure pattern manufactured by the method, a carbon nanostructure thin film transistor including the carbon nanostructure pattern layer, and a method of manufacturing the same.

탄소나노튜브(CNT), 그래핀(graphene), 탄소 나노섬유(nanofiber) 등의 탄소 나노구조물은 나노전자공학, 나노전자기계 시스템(NEMS), 센서, 컨택트 전극(contact electrode), 나노포토닉스(nanophotonics) 및 나노 바이오 기술(nanobiotechnology) 등에 있어서의 미래 성장을 위한 가장 장래성 있는 후보들 중의 일부라고 고려되고 있다. 이는 기본적으로 탄소 나노구조물의 일차원적인 성질, 독특한 전기적, 광학적, 기계적 특성 때문이다. Carbon nanostructures such as carbon nanotubes (CNT), graphene, and carbon nanofibers are used in nanoelectronics, nanoelectromechanical systems (NEMS), sensors, contact electrodes, and nanophotonics. ) And some of the most promising candidates for future growth in nanobiotechnology and the like. This is primarily due to the one-dimensional nature, unique electrical, optical and mechanical properties of the carbon nanostructures.

한편, 상기 탄소 나노구조물들 중 그래핀(graphene)은 육각형 구조의 탄소 한 층, 즉 흑연의 (0001)면 단층을 말하는데, 이러한 그래핀은 탄소나노튜브보다 더 뛰어난 물성을 갖는 것으로 알려져 있다. 특히, 그래핀은 실리콘에 비해 50배 내지 100배의 전기 전도성을 가지고 있어 실리콘과 같은 반도체를 대체할 수 있는 신물질로서 많은 연구가 진행되고 있다.On the other hand, graphene (graphene) of the carbon nanostructures refers to a single layer of carbon of hexagonal structure, that is, (0001) cotton monolayer of graphite, such graphene is known to have better physical properties than carbon nanotubes. In particular, since graphene has an electrical conductivity of 50 to 100 times that of silicon, much research is being conducted as a new material that can replace a semiconductor such as silicon.

일반적으로, 그래핀은 스카치 테이프를 이용하여 고 결정성 흑연 등으로부터 떼어내는 방법으로 얻어진다. 그 밖에, 기계적 방법 [B. Z. Jang 등, Nano-scaled graphite plates, US 7,071,258 B1]과, 정전기적 방법 [A. N. Sidorov 등, Electrostatic deposition of graphene, Nanotechnology 18(2007) 135301]을 사용하여 그래핀을 제조하는 방법들이 제안되었다. 이와 같은 방법들의 공통점은 그래핀을 고결정성 흑연으로부터 물리적으로 벗겨내는 것(mechanical cleavage)이다.Generally, graphene is obtained by peeling from high crystalline graphite or the like using a scotch tape. In addition, mechanical methods [B. Z. Jang et al., Nano-scaled graphite plates, US 7,071,258 B1] and electrostatic methods [A. N. Sidorov et al., Electrostatic deposition of graphene, Nanotechnology 18 (2007) 135301] has been proposed to prepare the graphene. Common to these methods is the mechanical cleavage of graphene from high crystalline graphite.

또한, Alfonso 등은 Nano Lett. 2009년 1월호에서, 그래핀 기판을 성장하는 방법으로서 금속 촉매를 이용하는 방법을 개시하였는데, 이 방법은 금속촉매를 증착한 후 CVD 방법으로 그래핀을 성장하는 방법이다. 상기 방법은 CVD를 사용하므로 대형 그래핀을 성장할 수 있는 장점을 갖고 있으나, 금속 촉매를 사용하므로, 그래핀을 증착한 후 금속 촉매를 제거하여 그 위에 증착된 그래핀을 다른 기판에 붙여야 하는 근본적인 제한을 가지고 있어서 대량생산에서는 사용하기가 매우 어려운 단점을 갖고 있다. In addition, Alfonso et al. Nano Lett. In the January 2009 issue, a method of using a metal catalyst as a method of growing a graphene substrate is disclosed, which is a method of growing graphene by CVD after depositing a metal catalyst. The method has the advantage of growing large graphene because of the use of CVD, but since it uses a metal catalyst, the fundamental limitation of removing the metal catalyst after deposition of graphene to attach the graphene deposited thereon to another substrate It has the disadvantage of being very difficult to use in mass production.

하지만, 평판 그래핀은 반금속(semi-metal)이므로 트랜지스터로 제조하는 경우에 전류를 완전히 차단할 수 없어 누설전류가 매우 큰 문제점이 존재하였다.However, since planar graphene is a semi-metal, when the transistor is manufactured, there is a problem in that the leakage current is very large because current cannot be cut off completely.

이와 관련된 종래 기술에 있어서, 리소그래피를 통해 그래핀을 패터닝을 한 후 O2 플라즈마 등을 통하여 상기 그래핀을 식각[탑-다운(top-down) 방식]하거나 바텀-업(bottom-up) 방식으로 상기 그래핀을 합성하는 방법 등이 있었다. 그러나 첫 번째 전통적인 탑-다운 방식은 리소그래피의 한계점 및 플라즈마 식각시 그래핀을 손상(damage)시키는 문제점으로 인해 실제로 나노리본이 형성된다 해도 누설전류가 커서 트랜지스터의 동작이 한계를 가진다고 알려져 있다. 그리고 두 번째 바텀-업 방식은 대량 생산에 사용할 수 있는 방법이 아니라는 치명적인 단점이 있다.In the related art, the graphene is patterned through lithography, and the graphene is etched (top-down) or bottom-up by O 2 plasma. There was a method for synthesizing the graphene. However, the first traditional top-down method is known to have a limited leakage current due to the lithography limitation and the problem of damaging graphene during plasma etching. And the second bottom-up method has a fatal drawback that it is not a method that can be used for mass production.

또한, 그래핀 기판을 바탕으로 그래핀 트랜지스터를 제조하기 위한 종래 여러 그래핀 성장 방법은 대량으로 그래핀을 성장시키는데 모두 한계점이 있어 상기 그래핀 기판을 바탕으로 그래핀 트랜지스터를 제조하는 방법은 극히 어려운 기술적 한계를 보여 주고 있다.In addition, many conventional graphene growth methods for manufacturing graphene transistors based on graphene substrates have limitations in growing graphene in large quantities, thus making it difficult to manufacture graphene transistors based on the graphene substrate. It shows technical limitations.

따라서 누설 전류가 작아 트랜지스터로 사용하기에 적합하며 대량 생산이 가능하고 대형 기판 상에 대형 크기로 제조할 수 있는 트랜지스터에 대한 필요성이 대두되었다.Therefore, there is a need for a transistor having a small leakage current, suitable for use as a transistor, capable of mass production, and manufacturing in a large size on a large substrate.

탄소 원자의 평평한 단일 원자층인 그래핀은, 초고속 트랜지스터 또는 매우 얇은 및/또는 투명 전극으로 이어질 수 있는 높은 전자 이동도를 가지고 있다. 디바이스 응용에 이용되기 위해, 그래핀의 밴드갭은 그래핀이 제로-밴드 갭 소재이기 때문에 개시되어야 한다. 리본의 폭이 감소하는 것처럼 그래핀 리본의 밴드갭은 증가하는 것은 알려졌다. 그래핀 리본은 그래핀 합성, 패턴화 및 리본의 식각을 통하여 실행될 수 있다. 그래서, 합성, 패턴화, 및 식각은 그래핀 리본을 제조하기 위해 모두 중요하다. 그래핀 합성을 위한 많은 보고가 있었다. 그 중에, 금속 촉매 상에서 화학 기상 증착(CVD)은 고품질 필름 및 쉬운 스케일-업(scale-up) 덕분에 매우 유망하다. Graphene, a flat single atomic layer of carbon atoms, has a high electron mobility that can lead to ultrafast transistors or very thin and / or transparent electrodes. For use in device applications, the bandgap of graphene must be initiated because graphene is a zero-bandgap material. It is known that the bandgap of graphene ribbons increases as the width of the ribbon decreases. Graphene ribbons can be implemented through graphene synthesis, patterning, and etching of ribbons. So synthesis, patterning, and etching are all important for making graphene ribbons. There have been many reports for graphene synthesis. Among them, chemical vapor deposition (CVD) on metal catalysts is very promising thanks to high quality films and easy scale-up.

그러나, CVD-성장 그래핀 리본을 구현하기 위해, 합성된 그래핀 층의 밑의 금속 촉매 때문에 후속되는 전사 공정이 필요하다. 일반적 습식 전사 방법은 금속 촉매로부터 그래핀 필름을 분리하고 그것을 바람직한 기판으로 전사시키는 것을 포함한다. 롤투롤 전사 기술이 최근에 보고되었지만, 접힘 및 결함 없이 저가의 고출력 전사 방법은 여전히 연구되고 있다. 상기 전사 공정뿐만 아니라, 그래핀 리본을 패턴닝하기 위한 후속되는 O2 플라스마 식각 공정은 상기 리본 에지에서 추가적 손상을 일으킬 수 있다. 따라서, 전사와 식각 공정 모두 CVD-성장 그래핀으로부터 우수한 그래핀 리본을 제조하기 위해 극복되어야 하는 장애물이다.However, to implement a CVD-grown graphene ribbon, a subsequent transfer process is necessary because of the metal catalyst underneath the synthesized graphene layer. Common wet transfer methods involve separating the graphene film from the metal catalyst and transferring it to the desired substrate. Although roll-to-roll transfer techniques have recently been reported, low cost, high power transfer methods without folds and defects are still being studied. In addition to the transfer process, a subsequent O 2 plasma etch process for patterning graphene ribbons can cause additional damage at the ribbon edges. Thus, both transfer and etching processes are obstacles that must be overcome to make good graphene ribbons from CVD-grown graphene.

이에, 상기한 바와 같은 종래의 문제점을 해결하기 위하여, 본원은, 금속 촉매층 패턴을 이용하여 상기 금속 촉매층의 측면에 탄소 나노구조물을 선택적으로 성장시키는 것을 포함하는 탄소 나노구조물 패턴의 제조 방법, 및 상기 제조 방법에 의하여 제조되는 탄소 나노구조물 패턴을 제공한다.Thus, in order to solve the conventional problems as described above, the present application, a method for producing a carbon nanostructure pattern comprising selectively growing a carbon nanostructure on the side of the metal catalyst layer using a metal catalyst layer pattern, It provides a carbon nanostructure pattern produced by the manufacturing method.

또한, 본원은, 누설 전류가 작고 대형 기판 상에 대형 크기로 제조할 수 있으며 대량 생산 가능한, 나노리본 형태로 금속 촉매층 측면 측면을 따라 형성된 탄소 나노구조물 층을 포함하는 탄소 나노구조물 박막 트랜지스터 및 그의 제조 방법을 제공하고자 한다. In addition, the present application is a carbon nanostructure thin film transistor including a carbon nanostructure layer formed along the side surface of the metal catalyst layer in the form of nanoribbons, which can be produced in a large size on a large substrate with a small leakage current, and its production. To provide a method.

그러나, 본원이 해결하고자 하는 과제는 이상에서 언급한 과제로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.However, the problems to be solved by the present invention are not limited to the above-mentioned problems, and other problems not mentioned can be clearly understood by those skilled in the art from the following description.

상술한 기술적 과제를 달성하기 위하여, 본원의 제 1 측면은, 하기 단계를 포함하는, 탄소 나노구조물(carbon nanostructured material) 패턴의 제조 방법을 제공한다:In order to achieve the above technical problem, the first aspect of the present application provides a method of manufacturing a carbon nanostructured material pattern, comprising the following steps:

기판 상에 금속 촉매층을 형성하는 단계;Forming a metal catalyst layer on the substrate;

상기 금속 촉매층 상에 마스크층을 형성하는 단계;Forming a mask layer on the metal catalyst layer;

상기 금속 촉매층 및 상기 마스크층을 식각하여 측면에 금속 촉매층이 노출되어 있는 주형 패턴을 형성하는 단계;Etching the metal catalyst layer and the mask layer to form a mold pattern having exposed metal catalyst layers on side surfaces thereof;

상기 주형 패턴의 금속 촉매층 측면에 탄소 나노구조물 층을 성장시키는 단계; 및Growing a carbon nanostructure layer on the side of the metal catalyst layer of the template pattern; And

상기 주형 패턴의 금속 촉매층 및 마스크층의 일부 또는 전체를 제거하여 탄소 나노구조물 패턴을 형성하는 단계.Removing a part or all of the metal catalyst layer and the mask layer of the template pattern to form a carbon nanostructure pattern.

본원의 제 2 측면은, 상기 본원에 따른 탄소 나노구조물 패턴의 제조 방법에 의하여 제조되는 탄소 나노구조물 패턴을 제공한다. The second aspect of the present application provides a carbon nanostructure pattern produced by the method of producing a carbon nanostructure pattern according to the present application.

본원의 제 3 측면은, 상기 탄소 나노구조물 패턴을 포함하는 전기·전자 소자를 제공한다.A third aspect of the present application provides an electrical and electronic device including the carbon nanostructure pattern.

본원의 제 4 측면은, 상기 탄소 나노구조물 패턴을 포함하는 전계방출소자를 제공한다.The fourth aspect of the present application provides a field emission device including the carbon nanostructure pattern.

본원의 제 5 측면은, 하기 단계를 포함하는, 탄소 나노구조물 박막 트랜지스터의 제조 방법을 제공한다:A fifth aspect of the present application provides a method of manufacturing a carbon nanostructure thin film transistor, comprising the following steps:

기판 상에 금속 촉매층을 형성하는 단계;Forming a metal catalyst layer on the substrate;

상기 금속 촉매층 상에 마스크층을 형성하는 단계;Forming a mask layer on the metal catalyst layer;

상기 금속 촉매층 및 상기 마스크층을 식각하여 측면에 상기 금속 촉매층이 노출되어 있는 주형 패턴을 형성하는 단계;Etching the metal catalyst layer and the mask layer to form a mold pattern having the metal catalyst layer exposed on a side surface thereof;

상기 주형 패턴의 금속 촉매층 측면에 탄소 나노구조물 층을 형성하는 단계;Forming a carbon nanostructure layer on the side of the metal catalyst layer of the template pattern;

상기 주형 패턴의 금속 촉매층 및 마스크층의 일부 또는 전체를 제거하여 탄소 나노구조물 패턴층을 형성하는 단계;Removing a part or all of the metal catalyst layer and the mask layer of the template pattern to form a carbon nanostructure pattern layer;

상기 기판 상에 게이트 절연층을 형성하는 단계;Forming a gate insulating layer on the substrate;

상기 기판 상에 게이트 전극을 형성하는 단계; 및Forming a gate electrode on the substrate; And

상기 탄소 나노구조물 패턴층과 전기적으로 접촉하는 소스/드레인 전극을 형성하는 단계.Forming a source / drain electrode in electrical contact with the carbon nanostructure pattern layer.

본원의 제 6 측면은, 상기 제조 방법에 따라 제조되는 탄소 나노구조물 박막 트랜지스터를 제공할 수 있다.A sixth aspect of the present disclosure may provide a carbon nanostructure thin film transistor manufactured according to the manufacturing method.

상기 본원의 탄소 나노구조물 패턴의 제조 방법은, 금속 촉매층의 측면에만 선택적으로 탄소 나노구조물 층을 형성하는 것을 포함함으로써, 제조된 탄소 나노구조물 층 또는 패턴을 다른 기판에 전사하는 공정이 필요치 않으며, 이로 인해 제조 공정이 단순화됨으로써, 탄소 나노구조물 패턴의 제조 비용을 낮출 수 있는 동시에 탄소 나노구조물 층 또는 패턴을 다른 기판에 이식하는 공정에 의해 발생할 수 있는 탄소 나노구조물 층 또는 패턴의 파손이 방지된다.The method of manufacturing the carbon nanostructure pattern of the present application includes selectively forming a carbon nanostructure layer only on the side of the metal catalyst layer, thereby eliminating the process of transferring the prepared carbon nanostructure layer or pattern to another substrate. This simplifies the manufacturing process, thereby lowering the manufacturing cost of the carbon nanostructure pattern and at the same time preventing breakage of the carbon nanostructure layer or pattern that may occur by implanting the carbon nanostructure layer or pattern onto another substrate.

또한, 상기 본원에 따르면, 나노리본 등 다양한 형태의 탄소 나노구조물 패턴층을 금속 촉매층 측면에 선택적으로 성장시킨 탄소 나노구조물 층을 포함하는 탄소 나노구조물 박막 트랜지스터를 제조할 수 있다. 상기 탄소 나노구조물 박막 트랜지스터는 나노리본 등 다양한 형태의 탄소 나노구조물 층을 포함하며 상기 나노리본 등 다양한 형태의 탄소 나노구조물 층의 폭이 좁아 누설 전류가 매우 적으며 탄소 나노구조물 나노 리본, 특히 그래핀 나노 리본의 성장 방법이 대형 기판 상에 또는 대량으로 생산하기에 적합하여 대형 기판 상에 대형 크기로 또는 대량으로 탄소 나노구조물 박막 트랜지스터를 제조할 수 있다. 또한, 본원에 따라 제조되는 그래핀 리본이 핀-형(fin-type)의 수직형이기 때문에, 실제적 듀얼 게이트 그래핀 트랜지스터는 용이하게 구현될 수 있다. 구체적으로, 상기 탄소 나노구조물 중 그래핀은 반금속(semi-metal) 물질인데, 이러한 반금속 물질을 반도체 특성을 갖는 그래핀으로 제조하기 위해서는 그래핀의 폭을 매우 좁게 만들어야 한다. 상기 그래핀의 폭을 매우 좁게 제조한 구조를 "나노리본 구조"라고 하며 상기 나노리본의 폭이 좁을수록 밴드갭이 커져서 트랜지스터가 오프되는 경우 누설전류가 작아진다. In addition, according to the present application, a carbon nanostructure thin film transistor including a carbon nanostructure layer in which carbon nanostructure pattern layers of various forms such as nanoribbons are selectively grown on a side of a metal catalyst layer may be manufactured. The carbon nanostructure thin film transistor includes various types of carbon nanostructure layers such as nanoribbons, and the width of the carbon nanostructure layers of various forms such as the nanoribbons is very low, and leakage current is very low. The method of growing a nanoribbon is suitable for producing on a large substrate or in large quantities so that carbon nanostructure thin film transistors can be manufactured in large sizes or in large quantities on large substrates. In addition, since the graphene ribbon manufactured according to the present application is a fin-type vertical type, a practical dual gate graphene transistor can be easily implemented. Specifically, graphene of the carbon nanostructures is a semi-metal material. In order to manufacture such a semi-metal material into graphene having semiconductor properties, the width of the graphene must be made very narrow. The structure in which the width of the graphene is very narrow is referred to as a "nanoribbon structure", and the narrower the width of the nanoribbons, the larger the bandgap and the smaller the leakage current when the transistor is turned off.

도 1은 본원의 일 구현예에 따른 탄소 나노구조물 패턴의 제조 방법에 의해 제조된 탄소 나노구조물 패턴의 사시도이고,
도 2는 도 1의 Ⅱ-Ⅱ를 따른 단면도이고,
도 3은 본원의 일 구현예에 따른 탄소 나노구조물 패턴의 제조 방법을 나타낸 순서도이고,
도 4a 내지 도 4c는 본원의 일 구현예에 따른 탄소 나노구조물 패턴의 제조 방법을 설명하기 위한 단면도이고,
도 5는 본원의 일 구현예에 따른 탄소 나노구조물 박막 트랜지스터의 제조 방법을 보여 주는 순서도이고,
도 6a 내지 도 6f는 본원의 일 구현예에 따른 탄소 나노구조물 박막 트랜지스터의 제조 방법을 설명하기 위한 단면도이고,
도 7은 본원의 일 구현예에 따른 탄소 나노구조물 박막 트랜지스터의 사시도이고,
도 8a 내지 도 8e는 본원의 일 실시예에 따른 탄소 나노구조물 박막 트랜지스터의 제조 방법을 설명하기 위한 단면도이고,
도 9a 내지 도 9d는 본원의 일 실시예에 따른 탄소 나노구조물 박막 트랜지스터의 제조 방법을 설명하기 위한 사시도이고,
도 10a 내지 도 10b는 본원의 일 실시예에 따른 탄소 나노구조물의 SEM 이미지이고,
도 10c는 본원의 일 실시예에 따른 탄소 나노구조물의 라만 스펙트럼이고,
도 11a는 본원의 일 실시예에 따른 탄소 나노구조물의 개략도이고,
도 11b는 본원의 일 실시예에 따른 탄소 나노구조물의 단면적 SEM 이미지이고,
도 11c는 본원의 일 실시예에 따른 탄소 나노구조물의 단면적 TEM 이미지이고,
도 11d는 본원의 일 실시예에 따른 탄소 나노구조물의 단면적 TEM 이미지이고,
도 12a는 본원의 일 실시예에 따른 탄소 나노구조물의 I-V 측정하는 장치를 나타내는 도면이고,
도 12a 및 12b는 본원의 일 실시예에 따른 탄소 나노구조물 리본의 Ni 시각 전 후의 I-V 곡선이고,
도 13a는 본원의 일 실시예에 따른 탄소 나노구조물 리본 트랜지스터의 I-V 측정하는 장치를 나타내는 도면이고,
도 13b 및 13c는 본원의 일 실시예에 따른 탄소 나노구조물 리본 트랜지스터의 백 게이트 전압에 대한 출력 및 전송 특성을 나타낸 그래프이고,
도 13d는 본원의 일 실시예에 따른 탄소 나노구조물의 상호 전도도를 나타낸 그래프이다.
1 is a perspective view of a carbon nanostructure pattern manufactured by a method of manufacturing a carbon nanostructure pattern according to an embodiment of the present application;
2 is a cross-sectional view taken along II-II of FIG. 1,
3 is a flowchart illustrating a method of manufacturing a carbon nanostructure pattern according to an embodiment of the present application;
4A to 4C are cross-sectional views illustrating a method of manufacturing a carbon nanostructure pattern according to an embodiment of the present application;
5 is a flowchart illustrating a method of manufacturing a carbon nanostructure thin film transistor according to an embodiment of the present disclosure,
6A through 6F are cross-sectional views illustrating a method of manufacturing a carbon nanostructure thin film transistor according to an exemplary embodiment of the present disclosure.
7 is a perspective view of a carbon nanostructure thin film transistor according to an embodiment of the present disclosure,
8A to 8E are cross-sectional views illustrating a method of manufacturing a carbon nanostructure thin film transistor according to an exemplary embodiment of the present application.
9A to 9D are perspective views illustrating a method of manufacturing a carbon nanostructure thin film transistor according to an exemplary embodiment of the present application.
10A to 10B are SEM images of carbon nanostructures according to an embodiment of the present disclosure,
10c is a Raman spectrum of a carbon nanostructure according to an embodiment of the present application,
11A is a schematic view of carbon nanostructures in accordance with an embodiment of the present disclosure,
11B is a cross-sectional SEM image of carbon nanostructures according to an embodiment of the present disclosure,
11C is a cross-sectional TEM image of carbon nanostructures according to an embodiment of the present disclosure,
11D is a cross-sectional TEM image of carbon nanostructures according to an embodiment of the present disclosure,
12A is a view showing an apparatus for measuring IV of carbon nanostructures according to an embodiment of the present disclosure,
12A and 12B are IV curves before and after Ni time of a carbon nanostructure ribbon according to one embodiment of the present application,
13A is a view showing an apparatus for measuring IV of a carbon nanostructure ribbon transistor according to an embodiment of the present application,
13B and 13C are graphs illustrating output and transmission characteristics of a back gate voltage of a carbon nanostructure ribbon transistor according to an exemplary embodiment of the present disclosure.
13D is a graph showing the mutual conductivity of carbon nanostructures according to an embodiment of the present disclosure.

이하, 첨부한 도면을 참조하여 본원이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 본원의 구현예 및 실시예를 상세히 설명한다.DETAILED DESCRIPTION Hereinafter, embodiments and examples of the present disclosure will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the present disclosure.

그러나 본원은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 구현예 및 실시예에 한정되지 않는다. 그리고 도면에서 본원을 명확하게 설명하기 위해서 설명과 관계없는 부분은 생략하였으며, 명세서 전체를 통하여 유사한 부분에 대해서는 유사한 도면 부호를 붙였다.It should be understood, however, that the present invention may be embodied in many different forms and is not limited to the embodiments and examples described herein. In the drawings, the same reference numbers are used throughout the specification to refer to the same or like parts.

본원 명세서 전체에서 사용되는 정도의 용어 "약", "실질적으로" 등은 언급된 의미에 고유한 제조 및 물질 허용오차가 제시될 때 그 수치에서 또는 그 수치에 근접한 의미로 사용되고, 본 발명의 이해를 돕기 위해 정확하거나 절대적인 수치가 언급된 개시 내용을 비양심적인 침해자가 부당하게 이용하는 것을 방지하기 위해 사용된다. 본원 명세서 전체에서 사용되는 정도의 용어 "~ (하는) 단계" 또는 "~d의 단계" 는 "~를 위한 단계"를 의미하지 않는다.As used throughout this specification, the terms "about", "substantially", and the like, are used at, or in the vicinity of, numerical values when a manufacturing and material tolerance inherent in the meanings indicated are given and an understanding of the invention Accurate or absolute figures are used to help prevent unfair use by unscrupulous infringers. As used throughout this specification, the terms "step (to)" or "step of (d)" do not mean "step for".

본원 명세서 전체에서, 어떤 부재가 다른 부재와 "상에" 위치하고 있다고 할 때, 이는 어떤 부재가 다른 부재에 접해 있는 경우뿐 아니라 두 부재 사이에 또 다른 부재가 존재하는 경우도 포함한다. 또한 어떤 부분이 어떤 구성 요소를 "포함" 한다고 할 때, 이는 특별히 반대되는 기재가 없는 한 다른 구성 요소를 제외하는 것이 아니라 다른 구성요소를 더 포함할 수 있는 것을 의미한다.
Throughout this specification, when a member is located "on" with another member, this includes not only when a member is in contact with another member, but also when there is another member between the two members. In addition, when a part is said to "include" a certain component, which means that it may further include other components, except to exclude other components unless specifically stated otherwise.

본원의 제 1 측면에 있어서, 상기 탄소 나노구조물(carbon nanostructured material) 패턴의 제조 방법은 하기의 단계를 포함할 수 있다:In a first aspect of the present disclosure, the method of manufacturing the carbon nanostructured material pattern may include the following steps:

기판 상에 금속 촉매층을 형성하는 단계;Forming a metal catalyst layer on the substrate;

상기 금속 촉매층 상에 마스크층을 형성하는 단계;Forming a mask layer on the metal catalyst layer;

상기 금속 촉매층 및 상기 마스크층을 식각하여 측면에 금속 촉매층이 노출되어 있는 주형 패턴을 형성하는 단계;Etching the metal catalyst layer and the mask layer to form a mold pattern having exposed metal catalyst layers on side surfaces thereof;

상기 주형 패턴의 금속 촉매층 측면에 탄소 나노구조물 층을 성장시키는 단계; 및Growing a carbon nanostructure layer on the side of the metal catalyst layer of the template pattern; And

상기 주형 패턴의 금속 촉매층 및 마스크층의 일부 또는 전체를 제거하여 탄소 나노구조물 패턴을 형성하는 단계.
Removing a part or all of the metal catalyst layer and the mask layer of the template pattern to form a carbon nanostructure pattern.

본원의 일 구현예에 있어서, 상기 "탄소 나노구조물"은 그래핀(graphene), 탄소나노튜브, 탄소 나노파이버, 탄소 나노와이어 또는 탄소 나노콘 등을 포함할 수 있으나, 이에 제한되는 것은 아니다. In one embodiment of the present application, the "carbon nanostructure" may include graphene, carbon nanotubes, carbon nanofibers, carbon nanowires or carbon nanocones, but is not limited thereto.

본원의 다른 구현예에 있어서, 상기 금속 촉매층은 상기 탄소 나노구조물 성장용 촉매로서 사용될 수 있는 금속을 포함할 수 있으며, 상기 금속은 Cu, Fe, Ni, Co, Pt, Ir, Pd 및 Ru로 이루어진 군에서 선택되는 하나 이상의 금속 또는 그의 합금을 포함할 수 있으나, 이에 제한되는 것은 아니다.In another embodiment of the present invention, the metal catalyst layer may include a metal that can be used as a catalyst for carbon nanostructure growth, the metal is made of Cu, Fe, Ni, Co, Pt, Ir, Pd and Ru One or more metals selected from the group or alloys thereof may be included, but is not limited thereto.

본원의 또 다른 구현예에 있어서, 상기 마스크층은 SiO2, SiNx, Al2O3, HfOx, 및 TiN으로 이루어진 군에서 선택되는 하나 이상을 포함할 수 있으나, 이에 제한되는 것은 아니다.In another embodiment of the present disclosure, the mask layer may include one or more selected from the group consisting of SiO 2 , SiN x , Al 2 O 3 , HfO x , and TiN, but is not limited thereto.

본원의 또 다른 구현예에 있어서, 상기 주형 패턴의 금속 촉매층 측면에 탄소 나노구조물을 성장시키는 단계는, 탄소를 포함하는 전구체를 열 또는 플라즈마에 의하여 분해하여 상기 탄소 나노구조물 층을 성장시키는 것을 포함할 수 있다.In another embodiment of the present disclosure, growing the carbon nanostructures on the side of the metal catalyst layer of the template pattern may include growing the carbon nanostructure layer by decomposing a precursor including carbon by heat or plasma. Can be.

본원의 또 다른 구현예에 있어서, 상기 기판 및 상기 금속 촉매층 사이에 배리어(barrier) 층을 형성하는 단계를 추가 포함할 수 있다.In another embodiment of the present disclosure, the method may further include forming a barrier layer between the substrate and the metal catalyst layer.

본원의 또 다른 구현예에 있어서, 상기 배리어 층은, SiO2, SiNx, Al2O3 및 HfOx 로 이루어진 군에서 선택되는 하나 이상을 포함할 수 있으나, 이에 제한되는 것은 아니다.In another embodiment of the present disclosure, the barrier layer may include one or more selected from the group consisting of SiO 2 , SiN x , Al 2 O 3, and HfO x , but is not limited thereto.

본원의 제 2 측면은, 상기 본원에 따른 탄소 나노구조물 패턴의 제조 방법에 의하여 제조되는 탄소 나노구조물 패턴을 제공한다.The second aspect of the present application provides a carbon nanostructure pattern produced by the method of producing a carbon nanostructure pattern according to the present application.

본원의 일 구현예에 있어서, 상기 탄소 나노구조물 패턴이 나노리본 형태를 가지는 것일 수 있으나, 이에 제한되는 것은 아니다.In one embodiment of the present application, the carbon nanostructure pattern may have a nanoribbon form, but is not limited thereto.

본원의 제 3 측면은, 상기 본원에 따른 탄소 나노구조물 패턴을 포함하는 전기·전자 소자를 제공한다.The third aspect of the present application provides an electrical and electronic device comprising the carbon nanostructure pattern according to the present application.

본원의 제 4 측면은, 상기 본원에 따른 탄소 나노구조물 패턴을 포함하는 전계방출소자를 제공한다.
The fourth aspect of the present application provides a field emission device comprising the carbon nanostructure pattern according to the present application.

이하, 도면을 참조하여 본원에 따른 일 구현예를 보다 구체적으로 설명한다. Hereinafter, an embodiment according to the present disclosure will be described in more detail with reference to the accompanying drawings.

도 1은 본원의 일 구현예에 따른 탄소 나노구조물 패턴의 제조 방법에 의해 제조된 탄소 나노구조물 패턴의 사시도이며, 도 2는 도 1의 Ⅱ-Ⅱ를 따른 단면도이다.1 is a perspective view of a carbon nanostructure pattern manufactured by a method of manufacturing a carbon nanostructure pattern according to an embodiment of the present disclosure, and FIG. 2 is a cross-sectional view taken along II-II of FIG. 1.

도 1 및 도 2에 도시된 바와 같이, 탄소 나노구조물 패턴은 기판(10), 선택적인 배리어 층(20) 및 탄소 나노구조물 층(30)을 포함할 수 있으며, 후술할 탄소 나노구조물 패턴의 제조 방법에 의해 제조된다.As shown in FIGS. 1 and 2, the carbon nanostructure pattern may include a substrate 10, an optional barrier layer 20, and a carbon nanostructure layer 30, which will be described later. It is manufactured by the method.

이하, 본원에 따른 탄소 나노구조물 패턴을 제조하는 방법을 도면을 이용하여 구체적으로 설명한다.Hereinafter, a method of manufacturing a carbon nanostructure pattern according to the present application will be described in detail with reference to the drawings.

도 3은 본원의 일 구현예에 따른 탄소 나노구조물 패턴의 제조 방법을 나타낸 순서도이며, 도 4a 내지 도 4c는 본원의 일 구현예에 따른 탄소 나노구조물 패턴의 제조 방법을 설명하기 위한 단면도이다.3 is a flowchart illustrating a method of manufacturing a carbon nanostructure pattern according to an embodiment of the present disclosure, and FIGS. 4A to 4C are cross-sectional views illustrating a method of manufacturing a carbon nanostructure pattern according to an embodiment of the present disclosure.

우선, 도 3 및 도 4a에 도시된 바와 같이, 기판(10) 상에 금속 촉매층(50)을 형성한다(S100).First, as shown in FIGS. 3 and 4A, the metal catalyst layer 50 is formed on the substrate 10 (S100).

구체적으로, 기판(10)은 특별히 제한은 없으며, 예를 들어, 실리콘, 유리, 플라스틱, 산화물 기판 등일 수 있다. 상기 기판과 금속 촉매가 반응할 가능성이 있는 경우, 이러한 반응을 막기 위하여 기판(10) 상에 절연체를 포함하는 배리어 층(20)을 형성할 수 있다. 이 경우 배리어 층(20) 상에 탄소 나노구조물 층(30)을 형성한다. Specifically, the substrate 10 is not particularly limited, and may be, for example, silicon, glass, plastic, oxide substrate, or the like. When the substrate and the metal catalyst may react, a barrier layer 20 including an insulator may be formed on the substrate 10 to prevent such a reaction. In this case, the carbon nanostructure layer 30 is formed on the barrier layer 20.

배리어 층(20)은 비제한적인 예로서 SiO2, SiNx, Al2O3 및 HfOx 등을 포함할 수 있다. 기판(10)이 실리콘 기판일 경우, 실리콘 기판 표면을 자외선(UV) 및 O3로 처리함으로써, SiO2 를 포함하는 배리어 층(20)을 형성할 수 있으며, 또는, 실리콘 기판 표면을 질소 분위기에서 처리함으로써, SiNx를 포함하는 배리어 층(20)을 형성할 수 있다 Barrier layer 20 may include, but is not limited to, SiO 2 , SiN x , Al 2 O 3 , HfO x , and the like. When the substrate 10 is a silicon substrate, the silicon substrate surface may be treated with ultraviolet (UV) and O 3 to form a barrier layer 20 including SiO 2 , or the silicon substrate surface may be in a nitrogen atmosphere. By processing, the barrier layer 20 containing SiN x can be formed.

기판(10)에 종류에 따라 선택적으로 배리어 층(20)을 형성한 다음 기판(10) 상에 금속 촉매층(50)을 형성한다. 상기 금속 촉매층(50)의 높이는 특별히 제한되지 않으며, 원하는 전기 전자 소자의 특성에 적절한 범위에서 당업자가 적의 선택할 수 있으며, 예를 들어, 나노미터, 마이크로미터 또는 밀리미터 크기의 범위일 수 있으나, 이에 제한되는 것은 아니다. 금속 촉매층(50)은 후에 형성될 탄소 나노구조물 층(30)의 성장용 촉매로서 사용될 수 있는 모든 일반적인 금속을 포함할 수 있으며, 예를 들어, Cu, Fe, Ni, Co, Pt, Ir, Pd 및 Ru로 이루어진 군에서 선택되는 하나 이상의 금속 또는 그의 합금을 포함할 수 있다. 금속 촉매층(50)은, 비제한적 예로서, 스퍼터링, 전자빔증착법(e-beam evaporation), 금속 혼합물의 졸-겔(sol-gel) 연소를 이용하는 방법, 금속 전구체를 이용한 이온 교환 침전법, 전기도금법(Electroplating), 무전해도금법(Electroless Plating) 등을 이용하여 형성할 수 있다.The barrier layer 20 may be selectively formed on the substrate 10, and then the metal catalyst layer 50 may be formed on the substrate 10. The height of the metal catalyst layer 50 is not particularly limited and may be appropriately selected by those skilled in the art in a range suitable for the characteristics of the desired electrical and electronic device, and may be, for example, in the range of nanometer, micrometer, or millimeter size, but is not limited thereto. It doesn't happen. The metal catalyst layer 50 may include all common metals that may be used as a catalyst for growth of the carbon nanostructure layer 30 to be formed later, for example, Cu, Fe, Ni, Co, Pt, Ir, Pd And it may include one or more metals or alloys thereof selected from the group consisting of Ru. The metal catalyst layer 50 is, by way of non-limiting example, sputtering, e-beam evaporation, sol-gel combustion of metal mixtures, ion exchange precipitation using metal precursors, electroplating (Electroplating), electroless plating (Electroless Plating) and the like can be formed.

다음, 금속 촉매층(50) 상에 마스크층(60)을 형성한다(S110).Next, a mask layer 60 is formed on the metal catalyst layer 50 (S110).

구체적으로, 예를 들어, 화학 기상 증착(CVD) 또는 플라즈마 기상 증착 공정 등을 이용하여 금속 촉매층(50) 상에 마스크층(60)을 형성한다. 마스크층(60)은 후에 형성될 탄소 나노구조물 층(30)의 성장 시 금속 촉매층(50)에 의한 탄소 나노구조물 층(30)의 성장 반응을 막을 수 있는 모든 절연 물질을 포함할 수 있으며, 예를 들어, SiO2, SiNx, Al2O3 및 HfOx로 이루어진 군에서 선택되는 하나 이상의 절연 물질 외에도 탄소 나노구조물 층(30)의 성장 촉매 역할을 하지 않는 TiN을 포함할 수 있다. 또한, 마스크층(60)은 비제한적 예로서, 전기 방전법(Arcdischarge), 레이저 증착법(Laser vaporization), 전기분해 방법 또는 플레임(Flame) 합성 방법 등을 이용하여 형성할 수 있다.Specifically, the mask layer 60 is formed on the metal catalyst layer 50 using, for example, chemical vapor deposition (CVD) or plasma vapor deposition. The mask layer 60 may include any insulating material capable of preventing the growth reaction of the carbon nanostructure layer 30 by the metal catalyst layer 50 upon growth of the carbon nanostructure layer 30 to be formed later. For example, in addition to one or more insulating materials selected from the group consisting of SiO 2 , SiN x , Al 2 O 3, and HfO x , TiN may not serve as a growth catalyst for the carbon nanostructure layer 30. In addition, the mask layer 60 may be formed using, for example and non-limiting examples, an arc discharge method, a laser vaporization method, an electrolysis method, or a flame synthesis method.

다음, 도 3 및 도 4b에 도시된 바와 같이, 금속 촉매층(50) 및 마스크층(60)을 식각하여 원하는 패턴을 형성한다(S120). 이러한 식각방법은 전통적인 리소그래피와 식각을 이용하여 수행될 수 있다. 한편, 여기서, "원하는 패턴" 이란, 예를 들어, 박막 트랜지스터(thin film transistor)를 구성하는 도전성 패턴의 형태이거나, 또는 칩 온 기판(chip on substrate)에 형성된 칩을 구성하는 도전성 패턴의 형태일 수 있으나, 이에 제한되는 것은 아니다.Next, as shown in FIGS. 3 and 4B, the metal catalyst layer 50 and the mask layer 60 are etched to form a desired pattern (S120). This etching method can be performed using traditional lithography and etching. On the other hand, the term "desired pattern" is, for example, in the form of a conductive pattern constituting a thin film transistor, or in the form of a conductive pattern constituting a chip formed on a chip on substrate (chip on substrate) May be, but is not limited thereto.

구체적으로, 예를 들어, 우선, 마스크층(60) 상에 포토레지스트층(photoresist layer)을 형성한 후, 설정된 패턴이 형성되어 있는 마스크를 통해 포토레지스트 층을 노광 및 현상하여 상기 설정된 패턴에 대응하는 포토레지스트 패턴을 형성한다. 이 때, 포토레지스트 패턴은 식각하고자 하는 금속 촉매층(50) 및 마스크층(60) 부분을 노출하게 된다. 다음, 포토레지스트 패턴을 마스크로서 이용하여 포토레지스트 패턴을 통해 노출된 금속 촉매층(50) 및 마스크층(60)을 식각하여 기판(10) 상에 금속 촉매층(50) 및 마스크층(60)을 포함하는 원하는 패턴을 형성한다. 이 후, 에싱(ashing) 공정 또는 리프트 오프(lift off) 공정을 이용하여 마스크층(60)으로부터 포토레지스트 패턴을 제거한다. 한편, 필요에 따라 포토레지스트 패턴을 제거하지 않을 수도 있다.Specifically, for example, first, after forming a photoresist layer on the mask layer 60, the photoresist layer is exposed and developed through a mask in which the set pattern is formed to correspond to the set pattern. A photoresist pattern is formed. In this case, the photoresist pattern exposes portions of the metal catalyst layer 50 and the mask layer 60 to be etched. Next, the metal catalyst layer 50 and the mask layer 60 exposed through the photoresist pattern are etched using the photoresist pattern as a mask to include the metal catalyst layer 50 and the mask layer 60 on the substrate 10. To form the desired pattern. Thereafter, the photoresist pattern is removed from the mask layer 60 using an ashing process or a lift off process. On the other hand, the photoresist pattern may not be removed if necessary.

이와 같은, 포토리소그래피(photolithography) 공정을 이용하여 금속 촉매층(50) 및 마스크층(60)을 식각함으로써 형성된 패턴의 상면에는 금속 촉매층(50)을 블로킹(blocking)하기 위한 마스크층(60)이 노출되어 있으며, 상기 패턴의 측면에는 금속 촉매층(50)이 노출되어 있다.The mask layer 60 for blocking the metal catalyst layer 50 is exposed on the upper surface of the pattern formed by etching the metal catalyst layer 50 and the mask layer 60 using a photolithography process. The metal catalyst layer 50 is exposed on the side surface of the pattern.

다음, 도 3 및 도 4c에 도시된 바와 같이, 상기 패턴의 금속 촉매층(50) 측면(51)에 탄소 나노구조물 층(30)을 성장시킨다(S130).Next, as shown in FIGS. 3 and 4C, the carbon nanostructure layer 30 is grown on the side surface 51 of the metal catalyst layer 50 of the pattern (S130).

예를 들어, 아세틸렌(C2H2) 또는 메탄(CH4) 등의 탄소를 포함하는 전구체(precursor)를 열 에너지를 이용하여 분해하는 화학기상증착법 또는 플라즈마 에너지를 이용하여 분해하는 플라즈마 화학기상증착법 등에 의하여 금속 촉매층(50) 측면(51)에 탄소 나노구조물 층(30)을 선택적으로 성장시킨다. 성장된 탄소 나노구조물 층(30)은 그래핀(graphene), 탄소나노튜브, 탄소 나노파이버, 탄소 나노와이어 또는 탄소 나노콘 등을 포함하는 것일 수 있다. 또한, 탄소 나노구조물 층(30)은, 비제한적 예로서, 전기 방전법(Arcdischarge), 레이저 증착법(Laser vaporization), 전기분해 방법, 플레임(Flame) 합성 방법 등을 이용하여 형성할 수 있다.For example, chemical vapor deposition that decomposes a precursor containing carbon such as acetylene (C 2 H 2 ) or methane (CH 4 ) using thermal energy or plasma chemical vapor deposition that decomposes using plasma energy. Etc., the carbon nanostructure layer 30 is selectively grown on the side surface 51 of the metal catalyst layer 50. The grown carbon nanostructure layer 30 may include graphene, carbon nanotubes, carbon nanofibers, carbon nanowires, or carbon nanocones. In addition, as a non-limiting example, the carbon nanostructure layer 30 may be formed using an arc discharge, laser vaporization, electrolysis, flame synthesis, or the like.

다음, 도 3에 도시된 바와 같이, 상기 측면에만 선택적으로 탄소 나노구조물 층(30)을 성장시킨 패턴의 금속 촉매층(50) 및 마스크층(60)을 제거한다(S140).Next, as shown in FIG. 3, the metal catalyst layer 50 and the mask layer 60 of the pattern in which the carbon nanostructure layer 30 is selectively grown on only the side surface are removed (S140).

예를 들어, 금속 촉매층(50) 및 마스크층(60)이 선택적으로 식각되는 식각액을 사용한 습식 식각 공정을 이용하여 탄소 나노구조물 층(30)을 제외하고 금속 촉매층(50) 및 마스크층(60)의 일부 또는 전체를 기판(10)으로부터 제거함으로써 기판(10) 상에는 탄소 나노구조물 층(30)만 형성되어 있게 된다.
For example, the metal catalyst layer 50 and the mask layer 60 except for the carbon nanostructure layer 30 using a wet etching process using an etchant in which the metal catalyst layer 50 and the mask layer 60 are selectively etched. By removing some or all of the portion from the substrate 10, only the carbon nanostructure layer 30 is formed on the substrate 10.

이상과 같이, 본원은 원하는 패턴으로 형성되어 있는 금속 촉매층(50)의 측면(51)에만 선택적으로 탄소 나노구조물 층(30)을 형성함으로써, 성장된 탄소 나노구조물 층(30)을 다른 기판에 이식하지 않고 탄소 나노구조물 층(30)이 성장된 기판(10)에서 그대로 사용할 수 있기 때문에, 탄소 나노구조물 패턴의 제조 공정이 단순화된다. 즉, 탄소 나노구조물 패턴의 제조 공정이 단순화됨으로써, 탄소 나노구조물 패턴의 제조 비용을 낮출 수 있는 동시에 탄소 나노구조물 층(30)을 다른 기판에 이식하는 공정에 의해 발생할 수 있는 탄소 나노구조물 층(30)의 파손이 방지된다. 또한, 상기와 같은 공정에 의해 제조된 탄소 나노구조물 패턴 상에 추가적인 도전성 패턴을 형성하여 박막 트랜지스터 등 전기 소자를 제조할 수 있다.As described above, the present application selectively forms the carbon nanostructure layer 30 only on the side surface 51 of the metal catalyst layer 50 formed in a desired pattern, thereby implanting the grown carbon nanostructure layer 30 into another substrate. Since the carbon nanostructure layer 30 can be used as it is in the grown substrate 10, the manufacturing process of the carbon nanostructure pattern is simplified. That is, by simplifying the manufacturing process of the carbon nanostructure pattern, it is possible to lower the manufacturing cost of the carbon nanostructure pattern and at the same time the carbon nanostructure layer 30 that may be generated by the process of implanting the carbon nanostructure layer 30 on another substrate. ) Breakage is prevented. In addition, an additional conductive pattern may be formed on the carbon nanostructure pattern manufactured by the above process to manufacture an electric device such as a thin film transistor.

또한, 상기와 같은 공정에 의해 제조된 탄소 나노구조물 패턴 상에 전계방출소자를 제조할 수 있다.
In addition, the field emission device may be manufactured on the carbon nanostructure pattern manufactured by the above process.

본원의 제 5 측면에 있어서, 하기 단계를 포함하는, 탄소 나노구조물 박막 트랜지스터의 제조 방법을 제공할 수 있다:In a fifth aspect of the present disclosure, a method of manufacturing a carbon nanostructure thin film transistor may be provided, comprising the following steps:

기판 상에 금속 촉매층을 형성하는 단계;Forming a metal catalyst layer on the substrate;

상기 금속 촉매층 상에 마스크층을 형성하는 단계;Forming a mask layer on the metal catalyst layer;

상기 금속 촉매층 및 상기 마스크층을 식각하여 측면에 상기 금속 촉매층이 노출되어 있는 주형 패턴을 형성하는 단계;Etching the metal catalyst layer and the mask layer to form a mold pattern having the metal catalyst layer exposed on a side surface thereof;

상기 주형 패턴의 금속 촉매층 측면에 탄소 나노구조물 층을 형성하는 단계;Forming a carbon nanostructure layer on the side of the metal catalyst layer of the template pattern;

상기 주형 패턴의 금속 촉매층 및 마스크층의 일부 또는 전체를 제거하여 탄소 나노구조물 패턴층을 형성하는 단계;Removing a part or all of the metal catalyst layer and the mask layer of the template pattern to form a carbon nanostructure pattern layer;

상기 기판 상에 게이트 절연층을 형성하는 단계;Forming a gate insulating layer on the substrate;

상기 기판 상에 게이트 전극을 형성하는 단계; 및Forming a gate electrode on the substrate; And

상기 탄소 나노구조물 패턴층과 전기적으로 접촉하는 소스/드레인 전극을 형성하는 단계.Forming a source / drain electrode in electrical contact with the carbon nanostructure pattern layer.

본원의 일 구현예에 있어서, 상기 탄소 나노구조물 층은 나노 리본 형태일 수 있다.In one embodiment of the present application, the carbon nanostructure layer may be in the form of a nano-ribbon.

본원의 다른 구현예에 있어서, 상기 탄소 나노구조물은 그래핀(graphene), 탄소나노튜브, 탄소 나노파이버, 탄소 나노와이어, 또는 탄소 나노콘을 포함하는 것일 수 있으나, 이에 제한되지는 않는다. 예를 들어, 상기 탄소 나노구조물 층은 그래핀을 포함하는 것일 수 있으나, 이에 제한되지는 않는다. 일 구현예에 있어서, 상기 그래핀은 나노리본 형태를 가지는 것일 수 있다.In another embodiment of the present disclosure, the carbon nanostructure may include graphene, carbon nanotubes, carbon nanofibers, carbon nanowires, or carbon nanocones, but is not limited thereto. For example, the carbon nanostructure layer may include graphene, but is not limited thereto. In one embodiment, the graphene may have a nanoribbon form.

본원의 또 다른 구현예에 있어서, 상기 금속 촉매층은 상기 탄소 나노구조물 층 성장의 촉매로서 사용되는 금속을 포함하는 것일 수 있으나, 이에 제한되지는 않는다.In another embodiment of the present disclosure, the metal catalyst layer may include, but is not limited to, a metal used as a catalyst for growth of the carbon nanostructure layer.

본원의 또 다른 구현예에 있어서, 상기 금속은 Cu, Fe, Ni, Co, Pt, Ir, Pd, 및 Ru로 이루어진 군에서 선택되는 하나 이상의 금속 또는 그의 합금을 포함하는 것일 수 있으나, 이에 제한되지는 않는다.In another embodiment of the present disclosure, the metal may include one or more metals or alloys thereof selected from the group consisting of Cu, Fe, Ni, Co, Pt, Ir, Pd, and Ru, but is not limited thereto. Does not.

본원의 또 다른 구현예에 있어서, 상기 마스크층은 SiO2, SiNx, Al2O3, HfOx, SOG(Silicon-on-Glass), ZrO, 및 TiN으로 이루어진 군에서 선택되는 하나 이상을 포함하는 것일 수 있으나, 이에 제한되지는 않는다.In another embodiment of the present disclosure, the mask layer includes at least one selected from the group consisting of SiO 2 , SiN x , Al 2 O 3 , HfO x , Silicon-on-Glass (SOG), ZrO, and TiN. It may be, but is not limited thereto.

본원의 또 다른 구현예에 있어서, 상기 주형 패턴의 금속 촉매층 측면에 탄소 나노구조물 층을 성장시키는 단계는, 탄소를 포함하는 전구체를 열 또는 플라즈마에 의하여 분해하여 화학기상증착법에 의하여 상기 탄소 나노구조물 층을 성장시키는 것을 포함하는 것일 수 있다.In another embodiment of the present invention, growing the carbon nanostructure layer on the metal catalyst layer side of the template pattern, the carbon nanostructure layer by chemical vapor deposition by decomposing the precursor containing carbon by heat or plasma It may be to include growing.

본원의 또 다른 구현예에 있어서, 상기 기판 및 상기 금속 촉매층 사이에 배리어(barrier)층을 형성하는 단계를 추가 포함할 수 있다.In another embodiment of the present disclosure, the method may further include forming a barrier layer between the substrate and the metal catalyst layer.

본원의 또 다른 구현예에 있어서, 상기 배리어 층은, SiO2, SiNx, Al2O3, HfOx, SOG 및 ZrO로 이루어진 군에서 선택되는 하나 이상을 포함하는 것일 수 있으나, 이에 제한되지는 않는다.In another embodiment of the present disclosure, the barrier layer may include, but is not limited to, one or more selected from the group consisting of SiO 2 , SiN x , Al 2 O 3 , HfO x , SOG, and ZrO. Do not.

본원의 제 6 측면에 있어서, 상기 제조 방법에 따라 제조되는 탄소 나노구조물 박막 트랜지스터를 제공할 수 있다.In the sixth aspect of the present application, it is possible to provide a carbon nanostructure thin film transistor manufactured according to the manufacturing method.

본원의 일 구현예에 있어서, 상기 박막 트랜지스터가 포함하는 그래핀 층이 나노리본 형태를 가지는 것일 수 있다.
In one embodiment of the present application, the graphene layer included in the thin film transistor may have a nanoribbon form.

이하, 본원의 탄소 나노구조물 박막 트랜지스터 및 그의 제조 방법에 대한 일 구현예 및 일 실시예를 도면을 이용하여 자세히 설명한다. 그러나, 본원이 이에 제한되는 것은 아니다.
Hereinafter, one embodiment and one embodiment of the carbon nanostructure thin film transistor of the present application and a manufacturing method thereof will be described in detail with reference to the accompanying drawings. However, the present application is not limited thereto.

도 5는 본원의 일 구현예에 따른 탄소 나노구조물 박막 트랜지스터의 제조 방법을 나타낸 순서도이며, 도 6a 내지 도 6f는 본원의 일 구현예에 따른 탄소 나노구조물 박막 트랜지스터의 제조 방법을 설명하기 위한 단면도이다.5 is a flowchart illustrating a method of manufacturing a carbon nanostructure thin film transistor according to an embodiment of the present disclosure, and FIGS. 6A to 6F are cross-sectional views illustrating a method of manufacturing a carbon nanostructure thin film transistor according to an embodiment of the present disclosure. .

우선, 도 5 및 도 6a에 도시된 바와 같이, 기판(100) 상에 금속 촉매층(300)을 형성한다(S200).First, as shown in FIGS. 5 and 6A, the metal catalyst layer 300 is formed on the substrate 100 (S200).

구체적으로, 기판(100)은 특별히 제한은 없으며, 예를 들어, 실리콘, 유리, 플라스틱, 산화물 기판 등일 수 있다. 상기 기판과 금속 촉매가 반응할 가능성이 있는 경우, 이러한 반응을 막기 위하여 기판(100) 상에 절연체를 포함하는 배리어 층(200)을 형성할 수 있다. 이 경우 상기 배리어 층(200) 상에 탄소 나노구조물 층(500)을 형성한다. Specifically, the substrate 100 is not particularly limited and may be, for example, silicon, glass, plastic, oxide substrate, or the like. If there is a possibility that the substrate and the metal catalyst may react, a barrier layer 200 including an insulator may be formed on the substrate 100 to prevent such a reaction. In this case, the carbon nanostructure layer 500 is formed on the barrier layer 200.

상기 배리어 층(200)은 비제한적인 예로서 SiO2, SiNx, Al2O3, HfOx, SOG 및 ZrO로 이루어진 군에서 선택되는 하나 이상을 포함할 수 있으나 이에 제한되는 것은 아니다. 기판(100)이 실리콘 기판일 경우, 실리콘 기판 표면을 산소 분위기 처리, 혹은 자외선(UV) 및 O3 로 처리함으로써, SiO2를 포함하는 배리어 층(200)을 형성할 수 있으며, 또는, 실리콘 기판 표면을 질소 분위기에서 처리함으로써, SiNx를 포함하는 배리어 층(200)을 형성할 수 있다. The barrier layer 200 may include, but is not limited to, one or more selected from the group consisting of SiO 2 , SiN x , Al 2 O 3 , HfO x , SOG, and ZrO. When the substrate 100 is a silicon substrate, the surface of the silicon substrate may be treated with oxygen atmosphere or ultraviolet (UV) and O 3 to form a barrier layer 200 including SiO 2 , or the silicon substrate By treating the surface in a nitrogen atmosphere, the barrier layer 200 including SiN x can be formed.

기판(100)의 종류에 따라 선택적으로 배리어 층(200)을 형성한 다음 상기 기판(100) 상에 금속 촉매층(300)을 형성한다. 상기 금속 촉매층(300)의 높이는 특별히 제한되지 않으며, 원하는 전기 전자 소자의 특성에 적절한 범위에서 당업자가 선택할 수 있다. 여기서, 상기 금속 촉매층(300)의 높이(두께)에 따라 이후 상기 금속 촉매층(300)의 측면(side-wall)에 성장될 탄소 나노구조물 층(500)의 높이[탄소 나노구조물 층 리본 폭 : T(도 7 참조)]가 결정될 수 있다. 상기 금속 촉매층(300)은 후에 형성될 탄소 나노구조물 층(500)의 성장의 촉매로서 사용될 수 있는 모든 일반적인 금속을 포함할 수 있으며, 예를 들어, 금속은 Cu, Fe, Ni, Co, Pt, Ir, Pd 및 Ru 로 이루어진 군에서 선택되는 하나 이상 금속 또는 그의 합금을 포함할 수 있으나, 이에 제한되는 것은 아니다. 상기 금속 촉매층(300)은 비제한적 예로서, 스퍼터링, 전자빔증착법(e-beam evaporation), 금속 혼합물의 졸-겔(sol-gel) 연소를 이용하는 방법, 금속 전구체를 이용한 이온 교환 침전법, 전기도금법(Electroplating), 무전해도금법(Electroless Plating) 등을 이용하여 형성할 수 있다.The barrier layer 200 is selectively formed according to the type of the substrate 100, and then the metal catalyst layer 300 is formed on the substrate 100. The height of the metal catalyst layer 300 is not particularly limited and may be selected by those skilled in the art in a range suitable for the characteristics of the desired electric and electronic device. Here, the height of the carbon nanostructure layer 500 to be later grown on the side (wall) of the metal catalyst layer 300 according to the height (thickness) of the metal catalyst layer 300 [carbon nanostructure layer ribbon width: T (See FIG. 7)] may be determined. The metal catalyst layer 300 may include all common metals that may be used as a catalyst for growth of the carbon nanostructure layer 500 to be formed later, for example, the metal may be Cu, Fe, Ni, Co, Pt, It may include one or more metals or alloys thereof selected from the group consisting of Ir, Pd and Ru, but is not limited thereto. The metal catalyst layer 300 includes, but is not limited to, sputtering, e-beam evaporation, sol-gel combustion of a metal mixture, ion exchange precipitation using a metal precursor, and electroplating. (Electroplating), electroless plating (Electroless Plating) and the like can be formed.

다음, 금속 촉매층(300) 상에 마스크층(400)을 형성한다(S210).Next, a mask layer 400 is formed on the metal catalyst layer 300 (S210).

구체적으로, 예를 들어, 화학 기상 증착 또는 플라즈마 기상 증착 공정 등을 이용하여 금속 촉매층(300) 상에 마스크층(400)을 형성할 수 있다. 상기 마스크층(400)은 후에 형성될 탄소 나노구조물 층(500)의 성장 시 금속 촉매층(300) 상부에 탄소 나노구조물 층(500)의 성장 반응을 막을 수 있는 모든 절연 물질을 포함할 수 있으며, 예를 들어, SiO2, SiNx, Al2O3, HfOx, SOG 및 ZrO로 이루어진 군에서 선택되는 하나 이상의 절연 물질을 포함할 수 있다. 또한, 상기 마스크층(400)은 비제한적 예로서, 전기 방전법(Arcdischarge), 레이저 증착법(Laser vaporization), 전기분해 방법, 플레임(Flame) 합성 방법 등을 이용하여 형성할 수 있다.Specifically, for example, the mask layer 400 may be formed on the metal catalyst layer 300 using a chemical vapor deposition or a plasma vapor deposition process. The mask layer 400 may include any insulating material capable of preventing a growth reaction of the carbon nanostructure layer 500 on the metal catalyst layer 300 upon growth of the carbon nanostructure layer 500 to be formed later. For example, it may include one or more insulating materials selected from the group consisting of SiO 2 , SiNx, Al 2 O 3 , HfOx, SOG, and ZrO. In addition, the mask layer 400 may be formed using, for example and non-limiting examples, an arc discharge, laser vaporization, electrolysis, flame synthesis, or the like.

다음, 도 6b에 도시된 바와 같이, 금속 촉매층(300) 및 마스크층(400)을 식각하여 원하는 주형 패턴을 형성한다(S220). 이러한 식각 방법은 전통적인 리소그라피와 식각을 이용하여 수행될 수 있다. 한편, 여기서, "원하는 패턴"이란, 예를 들어, 이후 완성될 나노리본 형태의 탄소나노 구조물이 형성될 수 있는 도전성 패턴의 형태일 수 있으나, 이에 제한되는 것은 아니다.Next, as shown in FIG. 6B, the metal catalyst layer 300 and the mask layer 400 are etched to form a desired mold pattern (S220). This etching method can be performed using traditional lithography and etching. On the other hand, herein, the "desired pattern" may be, for example, a conductive pattern in which carbon nanostructures in the form of nanoribbons to be completed may be formed, but are not limited thereto.

구체적으로, 예를 들어, 우선, 마스크층(400) 상에 포토레지스트층(photoresist layer)을 형성한 후, 설정된 패턴이 형성되어 있는 마스크를 통해 포토레지스트층을 노광 및 현상하여 상기 설정된 패턴에 대응하는 포토레지스트 패턴을 형성한다. 이 때, 포토레지스트 패턴은 식각하고자 하는 금속 촉매층(300) 및 마스크층(400) 부분을 노출하게 된다. 다음, 포토레지스트 패턴을 마스크로서 이용하여 포토레지스트 패턴을 통해 노출된 금속 촉매층(300) 및 마스크층(400)을 식각하여 기판(100) 상에 금속 촉매층(300) 및 마스크층(400)을 포함하는 원하는 패턴을 형성한다. 이후, 에싱(ashing) 공정 또는 리프트 오프(lift off) 공정을 이용하여 마스크층(400)으로부터 포토레지스트 패턴을 제거한다. 한편, 필요에 따라 포토레지스트 패턴을 제거하지 않을 수도 있다.Specifically, for example, first, a photoresist layer is formed on the mask layer 400, and then the photoresist layer is exposed and developed through a mask having a set pattern to correspond to the set pattern. A photoresist pattern is formed. In this case, the photoresist pattern exposes portions of the metal catalyst layer 300 and the mask layer 400 to be etched. Next, the metal catalyst layer 300 and the mask layer 400 exposed through the photoresist pattern are etched using the photoresist pattern as a mask to include the metal catalyst layer 300 and the mask layer 400 on the substrate 100. To form the desired pattern. Thereafter, the photoresist pattern is removed from the mask layer 400 using an ashing process or a lift off process. On the other hand, the photoresist pattern may not be removed if necessary.

이와 같은, 포토리소그래피(photolithography) 공정을 이용하여 금속 촉매층(300) 및 마스크층(400)을 식각함으로써 형성된 패턴의 상면에는 금속 촉매층(300)을 블로킹(blocking)하기 위한 마스크층(400)이 노출되어 있으며, 상기 패턴의 측면에는 금속 촉매층(300)이 노출되어 있다.The mask layer 400 for blocking the metal catalyst layer 300 is exposed on the upper surface of the pattern formed by etching the metal catalyst layer 300 and the mask layer 400 using a photolithography process. The metal catalyst layer 300 is exposed on the side surface of the pattern.

다음, 도 6c에 도시된 바와 같이, 상기 주형 패턴의 금속 촉매층(300) 측면(310)에 탄소 나노구조물 층(500)을 성장시킨다(S230).Next, as shown in Figure 6c, the carbon nanostructure layer 500 is grown on the side surface 310 of the metal catalyst layer 300 of the template pattern (S230).

예를 들어, 아세틸렌(C2H2) 또는 메탄(CH4) 등의 탄소를 포함하는 전구체(precursor)를 열 에너지를 이용하여 분해하는 열 화학기상증착법 또는 플라즈마 에너지를 이용하여 분해하는 플라즈마 화학기상증착법 등에 의하여 금속 촉매층(300) 측면(310)에 탄소 나노구조물 층(500)을 선택적으로 성장시킬 수 있다. 성장된 탄소 나노구조물(500)은 그래핀(graphene), 탄소나노튜브, 탄소 나노파이버, 탄소 나노와이어 또는 탄소 나노콘 등을 포함할 수 있으나 이에 제한되는 것은 아니다. 또한, 탄소 나노구조물 층(500)은 비제한적 예로서, 전기 방전법(Arcdischarge), 레이저 증착법(Laser vaporization), 전기분해 방법 또는 플레임(Flame) 합성 방법 등을 이용하여 형성할 수 있다.For example, a thermal chemical vapor deposition method for decomposing carbon-containing precursors such as acetylene (C 2 H 2 ) or methane (CH 4 ) using thermal energy or plasma chemical vapor decomposition using plasma energy. The carbon nanostructure layer 500 may be selectively grown on the side surface 310 of the metal catalyst layer 300 by a deposition method or the like. The grown carbon nanostructure 500 may include graphene, carbon nanotubes, carbon nanofibers, carbon nanowires, or carbon nanocones, but is not limited thereto. In addition, the carbon nanostructure layer 500 may be formed using, for example and non-limiting examples, an arc discharge, laser vaporization, electrolysis, or flame synthesis.

다음, 도 6d에 도시된 바와 같이, 상기 측면에만 선택적으로 탄소 나노구조물 층(500)을 성장시킨 패턴의 금속 촉매층(300) 및 마스크층(400)을 전부 또는 일부를 제거하여 탄소 나노구조물 패턴층(500)을 형성할 수 있다(S240).Next, as shown in FIG. 6D, the carbon nanostructure pattern layer may be partially or partially removed from the metal catalyst layer 300 and the mask layer 400 of the pattern in which the carbon nanostructure layer 500 is selectively grown on only the side surface. 500 may be formed (S240).

예를 들어, 금속 촉매층(300) 및 마스크층(400)이 선택적으로 식각되는 식각액을 사용한 습식 식각 공정을 이용하여 상기 마스크층(400)은 전체를, 상기 금속 촉매층(300)은 일부를 제거함으로써 기판(100) 상에 패턴된 금속 촉매층(300) 및 상기 금속 촉매층(300)의 측면을 따라 형성된 탄소 나노구조물 패턴층(500)을 형성할 수 있다.For example, by using a wet etching process using an etchant in which the metal catalyst layer 300 and the mask layer 400 are selectively etched, the mask layer 400 is entirely removed, and the metal catalyst layer 300 is partially removed. The patterned metal catalyst layer 300 and the carbon nanostructure pattern layer 500 formed along the side surface of the metal catalyst layer 300 may be formed on the substrate 100.

이후, 도 6e에 도시된 바와 같이, 상기 탄소 나노구조물 패턴층(500)이 형성된 기판 상에 게이트 절연층(600)을 형성한다(S250). 상기 게이트 절연층(600)은 SiO2, SiNx, Al2O3, HfOx, SOG 및 ZrO로 이루어진 군에서 선택되는 하나 이상의 절연 물질로 형성할 수 있다. Thereafter, as shown in FIG. 6E, the gate insulating layer 600 is formed on the substrate on which the carbon nanostructure pattern layer 500 is formed (S250). The gate insulating layer 600 may be formed of at least one insulating material selected from the group consisting of SiO 2 , SiN x , Al 2 O 3 , HfO x , SOG, and ZrO.

마지막으로, 도 6f에 도시된 바와 같이 상기 게이트 절연층(600)을 형성한 후, 게이트 전극 물질층을 상기 게이트 절연층(600) 상에 형성할 수 있으며, 이후 상기 게이트 전극 물질층을 패터닝하여 게이트 전극(700)을 형성할 수 있다(S260). 상기 게이트 전극 물질은 통상적으로 게이트 전극 물질로 사용되는 크롬(Cr), 몰리브덴(Mo) 또는 알루미늄(Al) 등의 금속, 또는 탄소구조물, 폴리 실리콘 등을 사용할 수 있으나 이에 제한되는 것은 아니다. 상기 게이트 전극 물질층은 화학기상증착법(Chemical Vapor Deposition, CVD) 또는 물리기상증착법(Physical vapor deposition, PVD)으로 형성될 수 있으며, 상기 화학기상증착법은 금속유기화학기상증착법(MOCVD), 상압화학적기상증착법(APCVD), 저압 화학기상증착법(LPCVD), 플라즈마가속화학증착법(PECVD) 및 원자층증착법(ALD) 중 하나일 수 있다. Finally, as shown in FIG. 6F, after the gate insulating layer 600 is formed, a gate electrode material layer may be formed on the gate insulating layer 600, and then the gate electrode material layer is patterned. The gate electrode 700 may be formed (S260). The gate electrode material may be a metal such as chromium (Cr), molybdenum (Mo), or aluminum (Al), or a carbon structure, polysilicon, or the like, which is commonly used as the gate electrode material, but is not limited thereto. The gate electrode material layer may be formed by Chemical Vapor Deposition (CVD) or Physical Vapor Deposition (PVD), and the Chemical Vapor Deposition is a metal organic chemical vapor deposition (MOCVD) or atmospheric pressure chemical vapor phase. It may be one of a deposition method (APCVD), low pressure chemical vapor deposition (LPCVD), plasma accelerated chemical vapor deposition (PECVD) and atomic layer deposition (ALD).

여기서, 상기 게이트 전극 물질층을 패터닝하는 방법은 전술한 포토레지스트 패턴을 이용한 리소그래피 공정을 예로 들 수 있으나, 이에 제한되는 것은 아니다.Here, the method of patterning the gate electrode material layer may include, but is not limited to, a lithography process using the photoresist pattern described above.

이후, 상기 소스/드레인 전극(미도시)은 당업계에서 사용되는 재료 및 방법을 이용하여 형성될 수 있으며, 예를 들어, AZO(Al doped zinc oxide), ITO(Indium tin oxide), 코발트(Co), 철(Fe), 니켈(Ni), 크롬(Cr), 금(Au), 은(Ag), 구리(Cu), 알루미늄(Al), 백금(Pt), 주석(Sn), 텅스텐(W), 루테늄(Ru), 팔라듐 (Pd) 및 카드뮴(Cd)으로 이루어지는 군으로부터 선택되는 하나 이상으로 형성할 수 있으며, 화학기상증착법(Chemical Vapor Deposition, CVD) 또는 물리기상증착법(Physical vapor deposition, PVD)으로 소스/드레인 전극 물질층을 형성한 후 예를 들어, 리소그래피 공정으로 패터닝하여 상기 탄소 나노구조물 패턴층(500)과 전기적으로 접촉하는 소스/드레인 전극을 형성할 수 있다(S270). 상기 화학기상증착법은 금속유기화학기상증착법(MOCVD), 상압화학적기상증착법(APCVD), 저압 화학기상증착법(LPCVD), 플라즈마가속화학증착법(PECVD) 및 원자층증착법(ALD) 중 하나일 수 있다. 다만, 추가적으로 상기 게이트 전극(700)과 소스/드레인 전극 사이에 전술한 게이트 절연층과 동일한 물질로 상기 두 전극을 절연시키는 층간 절연막을 형성할 수 있다.Thereafter, the source / drain electrodes (not shown) may be formed using materials and methods used in the art, and include, for example, Al doped zinc oxide (AZO), indium tin oxide (ITO), and cobalt (Co). ), Iron (Fe), nickel (Ni), chromium (Cr), gold (Au), silver (Ag), copper (Cu), aluminum (Al), platinum (Pt), tin (Sn), tungsten (W ), Ruthenium (Ru), palladium (Pd) and cadmium (Cd) can be formed from one or more of the chemical vapor deposition (Chemical Vapor Deposition, CVD) or physical vapor deposition (Physical vapor deposition, PVD) The source / drain electrode material layer may be formed of, for example, and then patterned by a lithography process to form a source / drain electrode in electrical contact with the carbon nanostructure pattern layer 500 (S270). The chemical vapor deposition may be one of metal organic chemical vapor deposition (MOCVD), atmospheric chemical vapor deposition (APCVD), low pressure chemical vapor deposition (LPCVD), plasma accelerated chemical vapor deposition (PECVD) and atomic layer deposition (ALD). However, an interlayer insulating layer may be formed between the gate electrode 700 and the source / drain electrode to insulate the two electrodes with the same material as the aforementioned gate insulating layer.

도 7은 상술한 바와 같은 본원의 일 구현예에 따른 탄소 나노구조물 박막 트랜지스터의 사시도이다.
7 is a perspective view of a carbon nanostructure thin film transistor according to one embodiment of the present application as described above.

이하, 본원의 실시예를 통하여 보다 구체적으로 설명하며, 본 실시예에 의하여 본원의 범위가 제한되는 것은 아니다.
Hereinafter, the present invention will be described in more detail with reference to Examples, but the scope of the present invention is not limited by these Examples.

우선, 기판(10)으로서 실리콘 기판을 증류수, 아세톤, 에탄올의 순서로 각각 세척하고, 각각의 세척 단계 사이에는 N2 가스를 퍼징(purging)하여 기판의 오염물질을 제거하여 사용하였다.First, the silicon substrate was washed as distilled water, acetone, and ethanol, respectively, as the substrate 10, and the N 2 gas was purged between each washing step to remove contaminants from the substrate.

다음, 실리콘 기판 표면을 자외선 및 O3 로 처리함으로써, 기판(10) 상에 SiO2 를 포함하는 배리어 층(20)을 형성하였다.Next, the silicon substrate surface was treated with ultraviolet and O 3 to form a barrier layer 20 containing SiO 2 on the substrate 10.

다음, 배리어 층(20) 상에 스퍼터링 공정을 이용하여 기판(10) 상에 Pt을 포함하는 금속 촉매층(50)을 형성하였다.Next, a metal catalyst layer 50 including Pt was formed on the substrate 10 using a sputtering process on the barrier layer 20.

다음, 금속 촉매층(50) 상에 화학 기상 증착 공정을 이용하여 Al2O3를 포함하는 마스크층(60)을 형성하였다.Next, a mask layer 60 including Al 2 O 3 was formed on the metal catalyst layer 50 by using a chemical vapor deposition process.

다음, 금속 촉매층(50) 및 마스크층(60)을 포토리소그래피 공정을 이용해 식각하여 원하는 주형 패턴을 형성하였다. 상기 주형 패턴의 측면(51)에는 금속 촉매층(50)이 노출되어 있다.Next, the metal catalyst layer 50 and the mask layer 60 were etched using a photolithography process to form a desired mold pattern. The metal catalyst layer 50 is exposed on the side surface 51 of the mold pattern.

다음, 탄소를 포함하는 전구체인 메탄(CH4)을 열 화학 증착법에 의하여 열을 이용해 분해함으로써, 금속 촉매층(50) 측면(51)에만 선택적으로 탄소 나노구조물(30)로서 그래핀을 성장시켰다.Next, methane (CH 4 ), which is a precursor containing carbon, was decomposed using heat by thermal chemical vapor deposition, thereby selectively growing graphene as a carbon nanostructure 30 only on the side surface 51 of the metal catalyst layer 50.

다음, 금속 촉매층(50) 및 마스크층(60)이 선택적으로 식각되는 식각액을 사용한 습식 식각 공정을 이용하여 기판(10)으로부터 금속 촉매층(50) 및 마스크층(60) 전체를 기판(10)으로부터 제거하여, 그래핀 패턴(나노리본)만이 상기 기판 상에 그대로 잔존하였다 (도 4 참조).
Next, the entire metal catalyst layer 50 and the mask layer 60 are removed from the substrate 10 using a wet etching process using an etchant in which the metal catalyst layer 50 and the mask layer 60 are selectively etched. After removal, only the graphene pattern (nanoribbon) remained on the substrate as it is (see FIG. 4).

우선, 기판(100)으로서 실리콘 산화막이 형성된 실리콘 기판(SiO2/Si)을 증류수, 아세톤, 에탄올의 순서로 각각 세척하고, 각각의 세척 단계 사이에는 N2 가스를 퍼징(purging)하여 기판의 오염물질을 제거하여 사용하였다.First, the silicon substrate (SiO 2 / Si) on which the silicon oxide film is formed as the substrate 100 is washed in the order of distilled water, acetone, and ethanol, respectively, and N 2 between washing steps. The gas was purged to remove contaminants from the substrate.

다음, 상기 기판 표면을 자외선 및 O3 로 처리함으로써, 기판(100) 상에 SiO2 를 포함하는 배리어 층(200)을 형성하였다.Next, the substrate surface was treated with ultraviolet rays and O 3 to form a barrier layer 200 including SiO 2 on the substrate 100.

다음, 배리어 층(200) 상에 스퍼터링 공정을 이용하여 기판(100) 상에 Pt을 포함하는 금속 촉매층(300)을 형성하였다.Next, a metal catalyst layer 300 including Pt was formed on the substrate 100 by using a sputtering process on the barrier layer 200.

다음, 금속 촉매층(300) 상에 화학 기상 증착 공정을 이용하여 Al2O3 를 포함하는 마스크층(400)을 형성하였다.Next, a mask layer 400 including Al 2 O 3 was formed on the metal catalyst layer 300 by using a chemical vapor deposition process.

다음, 금속 촉매층(300) 및 마스크층(400)을 포토리소그래피 공정을 이용해 식각하여 원하는 주형 패턴을 형성하였다. 상기 주형 패턴의 측면(310)에는 금속 촉매층(300)이 노출되어 있다.Next, the metal catalyst layer 300 and the mask layer 400 were etched using a photolithography process to form a desired mold pattern. The metal catalyst layer 300 is exposed on the side surface 310 of the mold pattern.

다음, 탄소를 포함하는 전구체인 메탄(CH4)을 열 화학 증착법에 의하여 열을 이용해 분해함으로써, 금속 촉매층(300) 측면(310)에만 선택적으로 탄소 나노구조물 층(500)으로서 그래핀 나노 리본을 성장시켰다.Next, the carbon-containing precursor methane (CH 4 ) is decomposed using heat by thermal chemical vapor deposition, thereby selectively forming the graphene nano ribbon as the carbon nanostructure layer 500 only on the side 310 of the metal catalyst layer 300. Grown.

다음, 금속 촉매층(300) 및 마스크층(400)이 선택적으로 식각되는 식각액을 사용한 습식 식각 공정을 이용하여 기판(100)으로부터 금속 촉매층(300)의 일부 및 마스크층(400) 전체를 기판(100)으로부터 제거하여, 패턴된 금속 촉매층(300) 및 상기 금속 촉매층(300) 측면에 성장된 그래핀 나노리본의 패턴이 상기 기판 상에 그대로 잔존하였다.Next, a part of the metal catalyst layer 300 and the entire mask layer 400 are removed from the substrate 100 by using a wet etching process using an etchant in which the metal catalyst layer 300 and the mask layer 400 are selectively etched. 2), the patterned metal catalyst layer 300 and the pattern of graphene nanoribbons grown on the side of the metal catalyst layer 300 remained on the substrate.

이후, 상기 기판(100) 전면에 SiO2 를 포함하는 게이트 절연층(600)을 형성하였다.Thereafter, a gate insulating layer 600 including SiO 2 was formed on the entire surface of the substrate 100.

마지막으로 몰리브덴(Mo) 금속을 사용하여 화학 기상 증착법을 통해 상기 기판 상에 게이트 전극 물질층을 형성하고 이후 리소그래피 공정을 통하여 패터닝함으로써 게이트 전극(700)을 형성하였고, 화학기상증착법으로 Ni을 사용하여 상기 기판(100) 상에 소스/드레인 전극층을 형성하였다. 이후, 상기 소스/드레인 전극층을 리소그래피 공정을 사용하여 상기 금속 촉매층과 접촉하도록 패터닝하여 소스/드레인 전극을 형성함으로써 그래핀 박막 트랜지스터를 완성하였다 (도 6 및 도 7 참조).
Finally, the gate electrode 700 was formed by forming a gate electrode material layer on the substrate by chemical vapor deposition using molybdenum (Mo) metal, and then patterning it through a lithography process, and using Ni as a chemical vapor deposition method. A source / drain electrode layer was formed on the substrate 100. Thereafter, the source / drain electrode layer was patterned to contact the metal catalyst layer using a lithography process to form a source / drain electrode to complete the graphene thin film transistor (see FIGS. 6 and 7).

본 실시예에 있어서 핀-형(fin-type) 그래핀 리본 제조를 위한 개략적인 전체 공정 흐름은 도 8 및 도 9에 나타내었다. 구체적인 제조 공정은, 우선, Si 기판 상에 300 nm 두께의 SiO2 층을 증착하였다. 그리고 나서 300 nm-니켈(Ni) 및 200 nm-SiO2 는 각각 스퍼터링 및 CVD로 연속적으로 증착하였다(도 8(a)). 상기 니켈 필름은 그래핀 성장을 위한 촉매의 역할을 하고, 상부 SiO2 200 nm 는 그래핀 합성으로부터 Ni 촉매의 상부 표면을 보호하기 위한 보호층으로서 역할을 한다. 상기 SiO2 상에 포토레지스트(PR)를 스핀코팅하고 포토리소그래피에 의해 패터닝하여 상기 SiO2/Ni 적층체의 일부를 노출시켜 주형 패턴을 형성시켰다. 이와 같이 노출된 SiO2/Ni 적층체 외부 패턴(ourside pattern)을 유도 결합 플라즈마(ICP) 식각기에 의해 건식-식각하거나 또는 SiO2와 Ni 각각에 대하여 완충 산화물 식각액(Buffered Oxide Echant, BOE) 및 질산 각각 의해 습식-식각하였다(도 8(b)). 이러한 단계들을 통하여, 상기 니켈 촉매의 측면만이 노출되었고, 상기 노출된 니켈 촉매의 측면 상에 CVD에 의해 그래핀을 성장시킬 수 있다. 다음, 상기 PR을 아세톤으로 제거하였다(도 8(c), 도 9(a)). 상기와 같이 수득된 샘플(사이즈 1-2 cm2)을 할로겐 램프 가열 시스템을 구비한 CVD 석영 반응기 안으로 로딩하였다. 상기 챔버는 기계적 펌프에 의해 1 m Torr 하에서 진공을 유지하였고, 아르곤(Ar) 분위기(99.999% 순도)에서 퍼징하였다. 그리고 나서 온도를 증가시키는 동안 상기 촉매 니켈 금속의 산화를 방지하기 위해 수소(H2) (99.999% 순도) 가스를 상기 반응기로 주입되도록 허용하였다. 상기 샘플은 900-950℃ 에서 Ar 및 H2 가스 분위기 하에서 예비-어닐링(pre-annealed)하였고, 그래핀 성장은 850-900℃에서 수행하였다(도 8(d), 도 9(b)). 핀-형 그래핀 리본을 구현하기 위해, 그래핀 성장 후에, 전체 리본 패턴의 중앙부에서 상부 SiO2 층 및 Ni 층 각각을 10 : 1 BOE 및 FeCl3-기재 식각 용액 (Transcene Co. Type I)으로 각각 식각하면, 도 8(e)와 도 9(c)에 도시된 바와 같이, 두 개의 평행한 그래핀 리본이 수득되었다. 탑 게이트(상부 게이트) 공정을 수행하는 경우, 도 9(d)에 도시된 바와 같이 그래핀 리본을 이용하여 신규 핀-형 그래핀 트랜지스터를 구현할 수 있다. In this embodiment, a schematic overall process flow for producing a fin-type graphene ribbon is shown in FIGS. 8 and 9. In a specific manufacturing process, a 300 nm thick SiO 2 layer was first deposited on a Si substrate. 300 nm-nickel (Ni) and 200 nm-SiO 2 were then deposited successively by sputtering and CVD (FIG. 8 (a)). The nickel film serves as a catalyst for graphene growth, the upper SiO 2 200 nm serves as a protective layer to protect the top surface of the Ni catalyst from graphene synthesis. The SiO 2 layer A photoresist (PR) was spin-coated onto the substrate and patterned by photolithography to expose a portion of the SiO 2 / Ni laminate to form a mold pattern. The exposed SiO 2 / Ni laminate outer pattern was dry-etched by an inductively coupled plasma (ICP) etcher, or buffered oxide etchant (BOE) for SiO 2 and Ni, and Wet-etched with each nitric acid (FIG. 8 (b)). Through these steps, only the side of the nickel catalyst is exposed, and graphene can be grown by CVD on the exposed side of the nickel catalyst. Next, the PR was removed with acetone (Fig. 8 (c), Fig. 9 (a)). The sample thus obtained (size 1-2 cm 2 ) was loaded into a CVD quartz reactor equipped with a halogen lamp heating system. The chamber was maintained in vacuum under 1 m Torr by a mechanical pump and purged in an argon (Ar) atmosphere (99.999% purity). Hydrogen (H 2 ) (99.999% purity) gas was then allowed to be injected into the reactor to prevent oxidation of the catalyst nickel metal while increasing the temperature. The samples were pre-annealed under Ar and H 2 gas atmosphere at 900-950 ° C., and graphene growth was performed at 850-900 ° C. (FIG. 8 (d), FIG. 9 (b)). To realize the pin-type graphene ribbon, after graphene growth, the upper SiO 2 layer and the Ni layer, respectively, at the center of the entire ribbon pattern were replaced with 10: 1 BOE and FeCl 3 -based etching solution (Transcene Co. Type I). After etching, two parallel graphene ribbons were obtained, as shown in FIGS. 8 (e) and 9 (c). When performing the top gate (upper gate) process, as shown in FIG. 9 (d), a novel pin-type graphene transistor may be implemented using a graphene ribbon.

상기 그래핀 리본 트랜지스터를 위한 종래 CVD 공정과 비교할 때, 본원에 따른 공정은 측면 그래핀이 이용되기 때문에 그래핀 전사 공정을 요구하지 않는다. 게다가, 그래핀 리본이 핀-형의 수직형이기 때문에, 실제적 듀얼 게이트 그래핀 트랜지스터가 용이하게 구현될 수 있다. 종래 연속적 니켈 필름 상에서 수평으로 합성된 그래핀은 광학 현미경, 라만 분광기, 및 원자 힘 현미경(AFM)에 의해 쉽게 검사할 수 있다. 그러나, 본원에 따른 측면 그래핀은 매우 얇은 그래핀 층이 금속 촉매증의 측면 상에만 존재하는지 확인하기가 매우 어렵다. 이에, 본 실시예에서 상기 측면 성장 그래핀은 투과전자현미경(TEM)에 의해 관측되고, 이를 이용한 리본 레지스터 또는 트랜지스터의 전류-전압 측정은 반도체 분석기(Agilent B1500A)에 의해 수행하였다.Compared with the conventional CVD process for the graphene ribbon transistor, the process according to the present application does not require a graphene transfer process because lateral graphene is used. In addition, since the graphene ribbon is pin-type vertical, practical dual gate graphene transistors can be easily implemented. Graphene synthesized horizontally on conventional continuous nickel films can be easily examined by optical microscopy, Raman spectroscopy, and atomic force microscopy (AFM). However, lateral graphene according to the present application is very difficult to determine if a very thin graphene layer is present only on the side of the metal catalysis. In this embodiment, the lateral growth graphene is observed by a transmission electron microscope (TEM), and current-voltage measurement of a ribbon resistor or a transistor using the same is performed by a semiconductor analyzer (Agilent B1500A).

우선, 캡 SiO2 층 없이 벌크 Ni 촉매 상에서 합성된 그래핀의 TEM 관측을 수행하였다. 도 10a는 캡(cap) SiO2 층 없이 연속적 Ni 필름 상에 CVD에 의해 합성된 그래핀의 광학 현미경 사진을 나타내며, 그래핀의 전형적 광학적 이미지가 관찰되었다. 더어두운 그레이 컬러를 나타내는, Ni 상에서 합성된 그래핀의 일부 지점들은, 주변보다 더 두꺼운 그래핀 층으로 구성된 영역들이다 (도 10 b). 성장된 그래핀의 층 수는 라만 분광기에 의해 관측되었다(도 10c). 일부 영역들은 > 1의 2D/G 피크 비율을 나타내는데 이는 단일층 그래핀을 나타내며, 일부 영역들은 < 1의 2D/G 피크 비율을 나타내는데 이는 다층 그래핀을 나타낸다.First, TEM observation of graphene synthesized on bulk Ni catalyst without cap SiO 2 layer was performed. FIG. 10A shows an optical micrograph of graphene synthesized by CVD on a continuous Ni film without a cap SiO 2 layer, where a typical optical image of graphene was observed. Some points of graphene synthesized on Ni, which exhibit a darker gray color, are regions composed of a layer of graphene thicker than the surroundings (FIG. 10 b). The number of layers of grown graphene was observed by Raman spectroscopy (FIG. 10C). Some regions show a 2D / G peak ratio of> 1, which represents a single layer graphene, and some regions represent a 2D / G peak ratio of <1, which shows multilayer graphene.

다음, Ni 촉매의 측면 상에 합성된 그래핀에 대한 TEM 관측을 수행하였다. 도 11(a)는 측면 그래핀 성장의 단면 개략도이고, 도 11(b)는 상부 SiO2와 Ni 층을 건식-식각한 후의 단면 SEM 이미지이다. Ni 및 SiO2의 기울기는 수직이지 않지만, ~ 64 °이다. 도 11(c)는 CVD 성장 후에 적층된 층에 대한 단면 저배율 TEM 이미지이고, 도 11(d)는 상기 선택된 측면 영역에 대한 확대된 TEM 이미지이다. 상기 CVD 후에, 측면 그래핀(~ 수 층의 그래핀)은, 도 11(d)에 도시된 바와 같이, Ni과 백금(Pt)(TEM 이미지를 위해 증착한 층) 층 사이에서 확인되었다. 도 11(d)에서 상기 층간 거리는, TEM 이미지의 강도 프로파일로부터 ~0.3 nm이 되는 것을 확인하였으며, 이는 그래핀의 층들을 나타낸다. 상기 합성된 측면 그래핀 리본은 레지스터 또는 트랜지스터를 위한 채널로서 이용될 수 있다. 이것을 위해, 상기 리본 패턴의 중앙 부분에서 측면 그래핀을 지지하는 Ni 층을 FeCl3-기재 습식 식각 용액(Transcene Co. Type I)에 의해 식각하였고, 도 8(e) 및 도 9(c)에 도시된 바와 같이, 두 개의 평행한 그래핀 리본을 수득하였다.Next, TEM observation was performed on the graphene synthesized on the side of the Ni catalyst. Figure 11 (a) is a cross-sectional schematic of lateral graphene growth, Figure 11 (b) is a cross-sectional SEM image after dry-etching the upper SiO 2 and Ni layer. The slopes of Ni and SiO 2 are not perpendicular but ˜64 °. FIG. 11 (c) is a cross-sectional low magnification TEM image of the layer deposited after CVD growth, and FIG. 11 (d) is an enlarged TEM image of the selected side region. After the CVD, lateral graphene (~ several layers of graphene) was identified between the Ni and platinum (Pt) (layer deposited for TEM image) layers, as shown in FIG. 11 (d). In FIG. 11 (d), the interlayer distance was found to be ˜0.3 nm from the intensity profile of the TEM image, indicating layers of graphene. The synthesized lateral graphene ribbon can be used as a channel for a resistor or transistor. For this purpose, the Ni layer supporting the side graphene at the center portion of the ribbon pattern was etched by FeCl 3 -based wet etching solution (Transcene Co. Type I), and shown in FIGS. 8 (e) and 9 (c). As shown, two parallel graphene ribbons were obtained.

상기 Ni이 완전히 식각됐는지 확인하기 위해, 상기 리본의 I-V 곡선을 도 12(a)의 측정 장치를 이용하여 Ni를 식각하기 전 및 후에 각각 측정하여 도 12(b,c)에 나타내었다. 또한, 상기 Ni 식각 후에 CVD-스킵된(skipped) 샘플에 대해 무시할 정도의 양의 전류가 흐른다는 것을 확인하였다. 상기 제조된 그래핀 리본에 대한 게이트 변조(gate modulation)의 실행 가능성을 확인하기 위해, 백 게이트(back gate)를 상기 p-형 기판에 적용하였다. In order to confirm that Ni was completely etched, the I-V curve of the ribbon was measured before and after etching Ni using the measuring apparatus of FIG. 12 (a), respectively, and is shown in FIG. 12 (b, c). It was also confirmed that a negligible amount of current flows for the CVD-skipped samples after the Ni etching. In order to confirm the feasibility of gate modulation on the manufactured graphene ribbon, a back gate was applied to the p-type substrate.

도 13(a)는 상기 제조된 측면 그래핀 리본 트랜지스터의 I-V 측정을 나타내는 도면이다. 도 13(b) 및 도 13(c)는 백 게이트 구성에 있어서 작동하는 전형적인 출력과 전달 특성이다. 드레인 전류는 드레인 전압에 대하여 선형적 의존을 나타내고, 드레인 전류의 포화를 나타내지 않았다. 드레인 전류는 사실상 백 게이트 전압으로 조절되는 것으로 보여진다. 이는, 상기 백 게이트 산화물이 상기 리본의 바텀 에지(bottom egde)와만 접촉시키기 때문에 상기 제조된 그래핀 리본이 백 게이트 변조 특성을 나타내는 것은 상당히 놀랍다. 상기 리본이 탑 게이트 산화물에 의해 변조되면 많은 개선된 출력 특성이 달성될 것이 예상된다. 상기 전달 특성은 게이트 전압 증가에 따라 감소하는 드레인 전류를 나타내며 ((도 13(c)), 이는 p-형 채널 전도도를 나타낸다. 상기 강한 p-형 전도도는 제조된 모든 그래핀 리본 트랜지스터에 대하여 관측되었다. 그래핀은 흡착질(adsorbate)에 의해 쉽게 p-도핑된다. 그것들은 그래핀에서부터 흡착된 분자로의 전하 이동을 일으킨다. 게다가, 그래핀과 SiO2 사이의 약한 C-O 결합의 형성은, 탄소로부터 상기 SiO2/Si 기판의 산소로 전하를 전달함으로써 상기 그래핀에서 p-형 전도도에 기여할 수 있다.
FIG. 13 (a) is a diagram showing an IV measurement of the manufactured side graphene ribbon transistor. 13 (b) and 13 (c) are typical output and transfer characteristics that operate in a back gate configuration. The drain current showed a linear dependence on the drain voltage and did not indicate saturation of the drain current. The drain current is shown to be regulated to the back gate voltage in effect. This is quite surprising that the graphene ribbon produced exhibits back gate modulation characteristics since the back gate oxide only contacts the bottom edge of the ribbon. Many improved output characteristics are expected to be achieved if the ribbon is modulated by the top gate oxide. The transfer characteristic shows a drain current that decreases with increasing gate voltage ((Fig. 13 (c)), which indicates a p-type channel conductivity. The strong p-type conductivity is observed for all manufactured graphene ribbon transistors). Graphene is easily p-doped by adsorbates, which causes charge transfer from graphene to adsorbed molecules, and, in addition, the formation of weak CO bonds between graphene and SiO 2 from carbon. The transfer of charge to oxygen of the SiO 2 / Si substrate may contribute to the p-type conductivity in the graphene.

전술한 본원의 설명은 예시를 위한 것이며, 본원이 속하는 기술분야의 통상의 지식을 가진 자는 본원의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 쉽게 변형이 가능하다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다. 예를 들어, 단일형으로 설명되어 있는 각 구성 요소는 분산되어 실시될 수도 있으며, 마찬가지로 분산된 것으로 설명되어 있는 구성 요소들도 결합된 형태로 실시될 수 있다.It will be understood by those of ordinary skill in the art that the foregoing description of the embodiments is for illustrative purposes and that those skilled in the art can easily modify the invention without departing from the spirit or essential characteristics thereof. It is therefore to be understood that the above-described embodiments are illustrative in all aspects and not restrictive. For example, each component described as a single entity may be distributed and implemented, and components described as being distributed may also be implemented in a combined form.

본원의 범위는 상기 상세한 설명보다는 후술하는 특허청구범위에 의하여 나타내어지며, 특허청구범위의 의미 및 범위 그리고 그 균등 개념으로부터 도출되는 모든 변경 또는 변형된 형태가 본원의 범위에 포함되는 것으로 해석되어야 한다.
The scope of the present application is indicated by the following claims rather than the above description, and it should be construed that all changes or modifications derived from the meaning and scope of the claims and their equivalents are included in the scope of the present application.

10 : 기판
20 : 배리어 층
30 : 탄소 나노구조물 층
50 : 금속 촉매층
60 : 마스크층
100 : 기판
200 : 배리어층
300 : 금속 촉매층
400 : 마스크층
500 : 탄소 나노구조물 층(패턴)
600 : 게이트 절연층
700 : 게이트 전극
10: substrate
20: barrier layer
30: carbon nanostructure layer
50: metal catalyst layer
60 mask layer
100: substrate
200: barrier layer
300: metal catalyst layer
400: mask layer
500: carbon nanostructure layer (pattern)
600: gate insulating layer
700: gate electrode

Claims (24)

하기 단계를 포함하는, 그래핀(graphene) 패턴의 제조 방법:
기판 상에 금속 촉매층을 형성하는 단계;
상기 금속 촉매층 상에 마스크층을 형성하는 단계;
상기 금속 촉매층 및 상기 마스크층을 식각하여 측면에 상기 금속 촉매층이 노출되어 있는 주형 패턴을 형성하는 단계;
상기 주형 패턴의 금속 촉매층 측면에 그래핀 층을 성장시키는 단계; 및
상기 주형 패턴의 금속 촉매층 및 마스크층의 일부 또는 전체를 제거하여 상기 그래핀 패턴을 형성하는 단계.
A method for producing a graphene pattern, comprising the following steps:
Forming a metal catalyst layer on the substrate;
Forming a mask layer on the metal catalyst layer;
Etching the metal catalyst layer and the mask layer to form a mold pattern having the metal catalyst layer exposed on a side surface thereof;
Growing a graphene layer on the side of the metal catalyst layer of the template pattern; And
Removing the part or all of the metal catalyst layer and the mask layer of the template pattern to form the graphene pattern.
삭제delete 제 1 항에 있어서,
상기 금속 촉매층은 상기 그래핀 성장용 촉매로서 사용되는 전이금속을 포함하는 것인, 그래핀 패턴의 제조 방법.
The method of claim 1,
The metal catalyst layer is a graphene pattern manufacturing method comprising a transition metal used as the catalyst for graphene growth.
제 3 항에 있어서,
상기 금속은 Cu, Fe, Ni, Co, Pt, Ir, Pd 및 Ru로 이루어진 군에서 선택되는 하나 이상의 금속 또는 그의 합금을 포함하는 것인, 그래핀 패턴의 제조 방법.
The method of claim 3, wherein
Wherein the metal is one or more metals selected from the group consisting of Cu, Fe, Ni, Co, Pt, Ir, Pd and Ru or alloys thereof, the method for producing a graphene pattern.
제 1 항에 있어서,
상기 마스크층은 SiO2, SiNx, Al2O3, HfOx, 및 TiN으로 이루어진 군에서 선택되는 하나 이상을 포함하는 것인, 그래핀 패턴의 제조 방법.
The method of claim 1,
Wherein the mask layer comprises one or more selected from the group consisting of SiO 2 , SiN x , Al 2 O 3 , HfO x , and TiN, graphene pattern manufacturing method.
제 1 항에 있어서,
상기 주형 패턴의 금속 촉매층 측면에 그래핀 층을 성장시키는 단계는, 탄소를 포함하는 전구체를 열 또는 플라즈마에 의하여 분해하여 상기 그래핀 층을 성장시키는 것을 포함하는 것인, 그래핀 패턴의 제조 방법.
The method of claim 1,
The step of growing a graphene layer on the side of the metal catalyst layer of the template pattern, comprising the growth of the graphene layer by decomposing a precursor containing carbon by heat or plasma, graphene pattern manufacturing method.
제 1 항에 있어서,
상기 기판 및 상기 금속 촉매층 사이에 배리어(barrier) 층을 형성하는 단계를 추가 포함하는, 그래핀 패턴의 제조 방법.
The method of claim 1,
And forming a barrier layer between the substrate and the metal catalyst layer.
제 7 항에 있어서,
상기 배리어 층은, SiO2, SiNx, Al2O3 및 HfOx로 이루어진 군에서 선택되는 하나 이상을 포함하는 것인, 그래핀 패턴의 제조 방법.
The method of claim 7, wherein
Wherein the barrier layer, SiO 2 , SiN x , Al 2 O 3 And HfO x It will be one or more selected from the group consisting of, Graphene pattern manufacturing method.
삭제delete 삭제delete 삭제delete 삭제delete 하기 단계를 포함하는, 그래핀 박막 트랜지스터의 제조 방법:
기판 상에 금속 촉매층을 형성하는 단계;
상기 금속 촉매층 상에 마스크층을 형성하는 단계;
상기 금속 촉매층 및 상기 마스크층을 식각하여 측면에 상기 금속 촉매층이 노출되어 있는 주형 패턴을 형성하는 단계;
상기 주형 패턴의 금속 촉매층 측면에 그래핀 층을 형성하는 단계;
상기 주형 패턴의 금속 촉매층 및 마스크층의 일부 또는 전체를 제거하여 그래핀 패턴층을 형성하는 단계;
상기 기판 상에 게이트 절연층을 형성하는 단계;
상기 기판 상에 게이트 전극을 형성하는 단계; 및
상기 그래핀 패턴층과 전기적으로 접촉하는 소스/드레인 전극을 형성하는 단계.
A method for manufacturing a graphene thin film transistor, comprising the following steps:
Forming a metal catalyst layer on the substrate;
Forming a mask layer on the metal catalyst layer;
Etching the metal catalyst layer and the mask layer to form a mold pattern having the metal catalyst layer exposed on a side surface thereof;
Forming a graphene layer on the side of the metal catalyst layer of the template pattern;
Removing a part or all of the metal catalyst layer and the mask layer of the template pattern to form a graphene pattern layer;
Forming a gate insulating layer on the substrate;
Forming a gate electrode on the substrate; And
Forming a source / drain electrode in electrical contact with the graphene pattern layer.
삭제delete 삭제delete 제 13 항에 있어서,
상기 금속 촉매층은 상기 그래핀 층 성장용 촉매로서 사용되는 금속을 포함하는 것인, 그래핀 박막 트랜지스터의 제조 방법.
The method of claim 13,
The metal catalyst layer comprises a metal used as the catalyst for graphene layer growth, a graphene thin film transistor manufacturing method.
제 16 항에 있어서,
상기 금속은 Cu, Fe, Ni, Co, Pt, Ir, Pd 및 Ru로 이루어진 군에서 선택되는 하나 이상의 금속 또는 그의 합금을 포함하는 것인, 그래핀 박막 트랜지스터의 제조 방법.
17. The method of claim 16,
Wherein the metal is one or more metals selected from the group consisting of Cu, Fe, Ni, Co, Pt, Ir, Pd and Ru or alloys thereof, the method for manufacturing a graphene thin film transistor.
제 13 항에 있어서,
상기 마스크층은 SiO2, SiNx, Al2O3, HfOx, SOG, ZrO, 및 TiN으로 이루어진 군에서 선택되는 하나 이상을 포함하는 것인, 그래핀 박막 트랜지스터의 제조 방법.
The method of claim 13,
The mask layer is a graphene thin film transistor manufacturing method comprising one or more selected from the group consisting of SiO 2 , SiN x , Al 2 O 3 , HfO x , SOG, ZrO, and TiN.
제 13 항에 있어서,
상기 주형 패턴의 금속 촉매층 측면에 그래핀 층을 형성하는 단계는, 탄소를 포함하는 전구체를 열 또는 플라즈마에 의하여 분해하여 상기 그래핀 층을 성장시키는 것을 포함하는 것인, 그래핀 박막 트랜지스터의 제조 방법.
The method of claim 13,
Forming a graphene layer on the side of the metal catalyst layer of the template pattern, comprising the growth of the graphene layer by decomposing a precursor containing carbon by heat or plasma, a method for manufacturing a graphene thin film transistor .
제 13 항에 있어서,
상기 기판 및 상기 금속 촉매층 사이에 배리어(barrier)층을 형성하는 단계를 추가 포함하는, 그래핀 박막 트랜지스터의 제조 방법.
The method of claim 13,
And forming a barrier layer between the substrate and the metal catalyst layer.
제 20 항에 있어서,
상기 배리어 층은, SiO2, SiNx, Al2O3, HfOx, SOG 및 ZrO로 이루어진 군에서 선택되는 하나 이상을 포함하는 것인, 그래핀 박막 트랜지스터의 제조 방법.
21. The method of claim 20,
Wherein the barrier layer, SiO 2, SiN x , Al 2 O 3 , HfO x , SOG and ZrO comprising one or more selected from the group consisting of, a graphene thin film transistor manufacturing method.
제 13 항에 있어서,
상기 그래핀 층은 나노리본 형태로 형성되는 것인, 그래핀 박막 트랜지스터의 제조 방법.
The method of claim 13,
The graphene layer is formed in the form of a nano-ribbon, graphene thin film transistor manufacturing method.
삭제delete 삭제delete
KR1020100080016A 2009-08-18 2010-08-18 Carbon nanostructured material pattern and manufacturing method of the same, and carbon nanostructured material thin film transistor and manufacturing method of the same KR101219769B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20090076419 2009-08-18
KR1020090076419 2009-08-18

Publications (2)

Publication Number Publication Date
KR20110018851A KR20110018851A (en) 2011-02-24
KR101219769B1 true KR101219769B1 (en) 2013-01-18

Family

ID=43776388

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100080016A KR101219769B1 (en) 2009-08-18 2010-08-18 Carbon nanostructured material pattern and manufacturing method of the same, and carbon nanostructured material thin film transistor and manufacturing method of the same

Country Status (1)

Country Link
KR (1) KR101219769B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9515144B2 (en) 2014-09-23 2016-12-06 Samsung Electronics Co., Ltd. Fin-type graphene device

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101878738B1 (en) 2011-09-16 2018-07-17 삼성전자주식회사 Semiconductor device and operation method for logic device
US9478422B2 (en) 2013-02-25 2016-10-25 Solan, LLC Methods for fabricating refined graphite-based structures and devices made therefrom
CN104701146B (en) * 2014-12-31 2017-12-26 中国科学院物理研究所 Graphene nano electronic device and preparation method thereof
FR3071353B1 (en) * 2017-09-15 2020-11-13 Commissariat Energie Atomique FIELD-EFFECT TRANSISTORS WITH THIN ATOMIC CHANNEL
WO2020045695A1 (en) * 2018-08-28 2020-03-05 한국에너지기술연구원 Method for manufacturing ultrathin graphene membrane having nanopores
KR102220811B1 (en) * 2018-12-21 2021-02-26 한국에너지기술연구원 Method for Manufacturing Ultrafine Graphene Membrane Having Nano Pores
MY188501A (en) * 2018-12-26 2021-12-16 Mimos Berhad Method of forming graphene bump structure
CN113707757B (en) * 2021-07-09 2023-08-08 山东大学 Method for regulating and controlling photo-response performance of ultraviolet photoelectric detector
KR102536110B1 (en) * 2021-07-26 2023-05-26 고려대학교 산학협력단 Method for fabricating nano structure and photocatalyst device using oblique angle deposition and photocatalyst device using the same method
CN115403274A (en) * 2022-05-25 2022-11-29 重庆大学 Superfine glass wool fiber composite material and preparation method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020007626A (en) * 2000-07-18 2002-01-29 구자홍 Method for developing carbon nanotube horizontally
KR20020043952A (en) * 2000-12-05 2002-06-12 오길록 Field emission devices using carbon nanotubes and method thereof
KR20020095800A (en) * 2001-06-15 2002-12-28 엘지전자 주식회사 Method for developing carbon nanotube horizontally
KR20030001130A (en) * 2001-06-28 2003-01-06 엘지전자 주식회사 Method for developing carbon nanotube horizontally

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020007626A (en) * 2000-07-18 2002-01-29 구자홍 Method for developing carbon nanotube horizontally
KR20020043952A (en) * 2000-12-05 2002-06-12 오길록 Field emission devices using carbon nanotubes and method thereof
KR20020095800A (en) * 2001-06-15 2002-12-28 엘지전자 주식회사 Method for developing carbon nanotube horizontally
KR20030001130A (en) * 2001-06-28 2003-01-06 엘지전자 주식회사 Method for developing carbon nanotube horizontally

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9515144B2 (en) 2014-09-23 2016-12-06 Samsung Electronics Co., Ltd. Fin-type graphene device

Also Published As

Publication number Publication date
KR20110018851A (en) 2011-02-24

Similar Documents

Publication Publication Date Title
KR101219769B1 (en) Carbon nanostructured material pattern and manufacturing method of the same, and carbon nanostructured material thin film transistor and manufacturing method of the same
Ye et al. Synthesis of large-scale atomic-layer SnS 2 through chemical vapor deposition
Wang et al. CVD growth of large area smooth-edged graphene nanomesh by nanosphere lithography
US8685843B2 (en) Direct growth of graphene on substrates
US10465276B2 (en) Facile route to templated growth of two-dimensional layered materials
Kim et al. All‐solution‐processed van der waals heterostructures for wafer‐scale electronics
KR101626181B1 (en) Method for the controlled growth of a graphene film
US9362364B2 (en) Transfer-free batch fabrication of single layer graphene devices
KR101284059B1 (en) Graphene-Oxide Semiconductor Heterojunction Devices, and Production Method of the Same
KR101174670B1 (en) Preparation of patterned graphene applicable to graphene-based device
US20120241069A1 (en) Direct Synthesis of Patterned Graphene by Deposition
TWI552191B (en) Connection structure and manufacturing method thereof, semiconductor device
Song et al. Graphene/h‐BN heterostructures: recent advances in controllable preparation and functional applications
JP2009164432A (en) Method of manufacturing semiconductor apparatus, semiconductor apparatus, and wiring structure
US20110097631A1 (en) Organic/inorganic composite comprising three-dimensional carbon nanotube networks, method for preparing the organic/inorganic composite and electronic device using the organic/inorganic composite
JP4984498B2 (en) Functional element and manufacturing method thereof
KR20130027199A (en) Field-effect transistor using n-doped graphene and preparing method of the same
Kaur et al. Transfer-free graphene growth on dielectric substrates: A review of the growth mechanism
Cabrero-Vilatela et al. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer
Chaker et al. Nanoscale patterning of zinc oxide from zinc acetate using electron beam lithography for the preparation of hard lithographic masks
KR101802601B1 (en) Functionalized graphene structures and method for manufacturing same
KR101399347B1 (en) Process for preparing nano channel using carbon nano tube and nano structure using the same
KR101548424B1 (en) Method for synthesizing graphene using copper vapor and device comprising graphene synthesized thereby
KR101260606B1 (en) Manufacturing apparatus and method for graphene using flash ramp, and grapheme semiconductor manufactured by the same
KR20120042655A (en) Forming method of a large-scaled graphene substrate and a graphene device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161220

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee